Utilizing Reflow (e.g., Planarization, Etc.) Patents (Class 438/760)
  • Patent number: 6919281
    Abstract: In a method of manufacturing a semiconductor device, a flexible tube connects at least part of a path extending from a reaction chamber to a detoxification device through a vacuum pump. The flexible tube has a tube body made of hard material, the tube body having projected parts and depressed parts and a cover provided over an outer surface of the tube body, the cover being made of elastic material, the cover being in contact with around the projected parts of the tube body and formed over the depressed parts of the tube body so that a vacant space is formed between the tube body and the cover. Then, a semiconductor substrate is disposed within the reaction chamber. The vacuum pump is activated to bring the reaction chamber into a pressure-reduced state. A reaction gas is supplied to the reaction chamber. Finally, the reaction gas causes to react to thereby deposit a reactant on the semiconductor substrate.
    Type: Grant
    Filed: July 29, 2003
    Date of Patent: July 19, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Eiji Takaara
  • Patent number: 6905980
    Abstract: A semiconductor device is produced by forming a gate oxide film on a silicon substrate, forming a gate electrode on the gate oxide film, forming a nitrogen-containing oxide film on the silicon substrate and gate electrode in an N2O gas or an NO gas, forming a BPSG film on the nitrogen-containing oxide film, and carrying out a reflow process on the BPSG film in a water vapor atmosphere. During the reflow process, the nitrogen-containing oxide film that has no hydrogen atoms prevents the penetration and diffusion of oxygen and hydrogen atoms into the silicon substrate and gate electrode, thereby preventing the oxidization of the silicon substrate and gate electrode. No hydrogen atoms diffuse into the gate oxide film, and therefore, the reliability of the gate oxide film is secured.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: June 14, 2005
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mikio Wakamiya
  • Patent number: 6879046
    Abstract: A split barrier layer enables copper interconnect wires to be used in conjunction with low-k dielectric films by preventing the diffusion of N—H base groups into photoresists where they can render the photoresist insoluble. The split barrier layer is disposed between the copper and the low-k dielectric and includes a nitrogen-containing, oxygen-free film which contacts the copper, and an oxygen-containing, nitrogen-free film which contacts the low-k dielectric film. The nitrogen-containing film prevents the formation of undesirable copper oxides, and the oxygen-containing film prevents the diffusion of N—H base groups into the low-k dielectric films. The oxygen-containing film may be an oxygen-doped silicon carbide film in an exemplary embodiment. In another embodiment, a film stack of low-k dielectric films includes an etch-stop layer and hardmask each formed of oxygen-doped silicon carbide.
    Type: Grant
    Filed: January 2, 2002
    Date of Patent: April 12, 2005
    Assignee: Agere Systems Inc.
    Inventors: Gerald W Gibson, Jr., Scott Jessen, Steven Alan Lytle, Kurt George Steiner, Susan Clay Vitkavage
  • Patent number: 6878642
    Abstract: A new method to form passivation openings in the manufacture of an integrated circuit device is achieved. The passivation openings have gradually sloping sidewalls that allow a protective tape to be completely removed without leaving adhesive residue. A semiconductor substrate is provided. A passivation layer is deposited. An organic photoresist layer is deposited overlying the passivation layer. The organic photoresist layer is patterned to expose the passivation layer in areas where passivation openings are planned. The organic photoresist layer is reflowed to create gradually sloping sidewalls on the organic photoresist layer. The passivation layer is etched through to from the passivation openings. The passivation openings are thereby formed with gradually sloping sidewalls. The organic photoresist layer is stripped away. A protective tape is applied overlying the passivation layer and the passivation openings. The protective tape is removed.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: April 12, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hung-Jen Hsu, Yu-Kung Hsiao, Chih-Kung Chang, Sheng-Liang Pan, Kuo-Liang Lu
  • Patent number: 6867127
    Abstract: Provided are methods and composition for forming diamond metal-filled patterns above an integrated circuit substrate. A metal layer is formed above the integrated circuit substrate, which is then patterned such that a metal line is created. A plurality of diamond-shaped metal regions are then formed at least one of above and adjacent to the metal line formed on the integrated circuit substrate such that the density of metal on the integrated circuit substrate is greater than a specified density, thereby ensuring that a surface of dielectric formed above the metal line remains substantially planar after application of CMP to the dielectric layer.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: March 15, 2005
    Assignee: LSI Logic Corporation
    Inventor: Chih-Ju Hung
  • Patent number: 6841472
    Abstract: A semiconductor device is provided with a semiconductor substrate, a gate insulation film formed on the semiconductor substrate, a gate electrode formed on the gate insulation film and having a portion increasing upward in the length along a gate length direction, a side wall formed on a side surface of the gate electrode so as to be covered behind a top part of the gate electrode as seen in plan view, and an interlayer insulation film covering the gate electrode. The side wall is in contact with the interlayer insulation film.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: January 11, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Satoru Mayuzumi
  • Patent number: 6828254
    Abstract: A plasma enhanced chemical vapor deposition apparatus and a method of forming a nitride layer using the same, wherein the plasma enhanced CVD apparatus includes a process chamber including an upper chamber with a dome shape, a lower chamber, and an insulator therebetween, a gas distributing ring, a susceptor for supporting a wafer and heating the process chamber, a plasma compensation ring surrounding the susceptor, a vacuum pump and an electric power source connected to the process chamber. The gas distributing ring has a plurality of upwardly inclined nozzles, allowing upward distribution of reactive gases. The method of forming a nitride layer includes forming a protective film on inner walls of a process chamber, the protective film having at least two layers of differeing dielectric constant, and sequentially supplying reactive gases to the process chamber. A nitride layer formed thereby has low hydrogen content, good density and oxidation resistance.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: December 7, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jae-Jong Han, Kyoung-Seok Kim, Byung-Ho Ahn, Seung Mok Shin, Hwa-Sik Kim, Hong-Bae Park
  • Publication number: 20040224537
    Abstract: A spin-on glass (SOG) composition and a method of forming a silicon oxide layer utilizing the SOG composition are disclosed. The method includes coating on a semiconductor substrate having a surface discontinuity, an SOG composition containing polysilazane having a compound of the formula —(SiH2NH)n— wherein n represents a positive integer, a weight average molecular weight within the range of about 3,300 to 3,700 to form a planar SOG layer. The SOG layer is converted to a silicon oxide layer with a planar surface by curing the SOG layer. Also disclosed is a semiconductor device made by the method.
    Type: Application
    Filed: June 7, 2004
    Publication date: November 11, 2004
    Inventors: Jung-Ho Lee, Jun-Hyun Cho, Jung-Sik Choi, Dong-Jun Lee
  • Patent number: 6812113
    Abstract: The device and process include the deposition of polycrystalline germanium in the interconnect spaces between conductive metal elements. The device and process further include the removal of the germanium in order to form air-filled interconnect spaces.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: November 2, 2004
    Assignee: STMicroelectronics SA
    Inventors: Jerome Alieu, Christophe Lair, Michel Haond
  • Patent number: 6803308
    Abstract: The present invention is directed to a method of forming a dual damascene pattern in a fabrication process of a semiconductor device, which is capable of simplifying a fabrication process of a semiconductor device by filling a via hole with a photoresist, using a reflow phenomenon of the photoresist, in an ashing process.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: October 12, 2004
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang-Woo Nam
  • Patent number: 6794310
    Abstract: A method of determining temperature of a semiconductor wafer during wafer fabrication includes the step of providing a response circuit on the semiconductor wafer. The method also includes the step of transmitting an interrogation signal with a signal transceiver so as to excite the response circuit. The method further includes the step of receiving a response signal which was generated by the response circuit as a result of excitation thereof. In addition, the method includes the step of determining temperature of the semiconductor wafer based on the response signal. Moreover, the method includes the step of fabricating a circuit layer on the semiconductor wafer. Both the transmitting step tri and the receiving step are performed contemporaneously with the fabricating step. An apparatus for determining temperature of a semiconductor wafer during wafer fabrication is also disclosed.
    Type: Grant
    Filed: September 14, 2001
    Date of Patent: September 21, 2004
    Assignee: LSI Logic Corporation
    Inventors: Gayle W. Miller, Todd A. Randazzo
  • Patent number: 6773510
    Abstract: The present invention relates to a processing unit for processing a substrate, which comprises a chamber for housing the substrate and forming a hermetically closeable processing room, and an exhauster for exhausting an atmosphere in the processing room from an upper portion of the chamber to reduce a pressure in the processing room. The processing unit of the present invention includes a current plate for controlling an atmospheric current formed in the processing room when the pressure is reduced, and the chamber has a mounting table for mounting the substrate thereon, an almost cylindrical lid body with its lower face open for covering the substrate on the mounting plate from above and forming the processing room integrally with the mounting table, and a supporting member for supporting the current plate so that the current plate is parallel to the mounting plate.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: August 10, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Takahiro Kitano, Shinichi Sugimoto, Shinji Kobayashi, Naoya Hirakawa, Akira Fukutomi, Nobukazu Ishizaka
  • Patent number: 6743724
    Abstract: A method of manufacturing semiconductor devices using an improved chemical mechanical planarization process for the planarization of the surfaces of the wafer on which the semiconductor devices are formed. The improved chemical mechanical planarization process includes the formation of a flat planar surface from a deformable coating on the surface of the wafer filling in between the surface irregularities prior to the planarization of the surface through a chemical mechanical planarization process.
    Type: Grant
    Filed: April 11, 2001
    Date of Patent: June 1, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Trung T. Doan, Guy T. Blalock, Mark Durcan, Scott G. Meikle
  • Publication number: 20040097098
    Abstract: A method for fabricating a semiconductor device comprises the step of depositing an insulation film 32a with a first pressure set in a deposition chamber; the step of gradually decreasing the pressure in the deposition chamber to a second pressure which is lower than the first pressure; and the step of further depositing the insulation film 32b with the second pressure set in the deposition chamber. The insulation film is deposited with the first pressure a little lower than a second pressure set in a deposition chamber, and the insulation film is further deposited with the second pressure lower than the first pressure set in the deposition chamber. Furthermore, the insulation film is not deposited in the state where the pressure in the deposition chamber is extremely low, and an atmosphere in the deposition chamber is unstable. Thus, a semiconductor device having the insulation film with a sufficiently flat surface can be fabricating without using reflow process.
    Type: Application
    Filed: November 13, 2003
    Publication date: May 20, 2004
    Applicants: FUJITSU LIMITED, FASL LLC
    Inventors: Yoshimasa Nagakura, Hideaki Ohashi
  • Patent number: 6737319
    Abstract: A method of manufacturing a semiconductor device is obtained which is capable of evading generation of a short circuit between wirings in an upper wiring layer even if a part of an upper surface of an FSG film is exposed by variations in a production step. After a USG film (4) is deposited to a thickness of 1 &mgr;m over an entire surface of an FSG film (3), the USG film (4) is polished and removed by a thickness of 900 nm from an upper surface thereof by the CMP method. At this time, a part of an upper surface of the FSG film (3) is exposed by variations in a production step. Next, the surface of the interlayer dielectric film (50) is cleaned with a cleaning liquid whose etching rate to the FSG film (3) and etching rate to the USG film (5) are substantially the same. Such a cleaning liquid may be, for example, an ammonia hydrogen peroxide mixture of NH4OH:H2O2:H2O=1:1:20. The structure shown in FIG.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: May 18, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Noboru Morimoto, Masazumi Matsuura, Kinya Goto
  • Patent number: 6730619
    Abstract: A method of manufacturing an insulating layer that ensures reproducibility across like manufacturing apparatus. The insulating layer is formed on the substrate by (a) flowing an oxidizing gas at an oxidizing gas flow rate, (b) flowing a first carrier gas at a first carrier gas flow rate while carrying a first impurity including boron flowing at a first impurity flow rate, (c) flowing a second carrier gas at a second carrier gas flow rate while carrying a second impurity including phosphorus flowing at a second impurity flow rate, and (d) flowing a silicon source material at a silicon source flow rate. The second carrier gas flow rate is greater than the first carrier gas flow rate.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: May 4, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Chan Jung, Jin-Ho Jeon, Jeon-Sig Lim, Jong-Seung Yi
  • Patent number: 6723626
    Abstract: In a method of manufacturing a semiconductor device, an insulating film is formed on a semiconductor substrate, and a wiring line groove is formed in the insulating film. Then, a conductive film is formed to fill the wiring line groove and to cover the insulating film. The conductive film is removed using a CMP polishing method until the insulating film is exposed, to complete a wiring line. Subsequently, a front side of the semiconductor substrate is rinsed on which the wiring line is formed, and then a back side of the semiconductor substrate is rinsed while supplying to the front side of the semiconductor substrate, a protection solution for forming a protection film in an exposed surface of the wiring line.
    Type: Grant
    Filed: September 3, 2002
    Date of Patent: April 20, 2004
    Assignee: NEC Electronics Corporation
    Inventors: Yasuaki Tsuchiya, Akira Kubo
  • Patent number: 6716767
    Abstract: The present invention is directed towards planarization materials that produce little or no volatile byproducts during the hardening process when used in contact planarization processes. The materials can be hardened by photo-irradiation or by heat during the planarization process, and they include one or more types of monomers, oligomers, or mixtures thereof, an optional cross-linker, and an optional organic reactive solvents. The solvent, if used, is chemically reacted with the monomers or oligomers and thus becomes part of the polymer matrix during the curing process. These materials can be used for damascene, dual damascene, bi-layer, and multi-layer applications, microelectromechanical system (MEMS), packaging, optical devices, photonics, optoelectronics, microelectronics, and sensor devices fabrication.
    Type: Grant
    Filed: October 28, 2002
    Date of Patent: April 6, 2004
    Assignee: Brewer Science, Inc.
    Inventors: Wu-Sheng Shih, James E. Lamb, III, Mark Daffron
  • Patent number: 6703321
    Abstract: The present invention provides exemplary methods, apparatus and systems for planarizing an insulating layer, such as a borophosphosilicate glass (BPSG) layer, deposited over a substrate. In one embodiment, a substrate (140) is inserted into a substrate processing chamber and a BPSG layer (142) is deposited thereover. The BPSG layer has an upper surface that is generally non-planar, due in part to the underlying nonplanar substrate surface (130). The substrate is exposed to an ultraviolet (UV) light (160) at conditions sufficient to cause a reflow of the BPSG layer so that the BPSG layer upper surface (150) is generally planar. In this manner, photonic energy is used to promote BPSG reflow, thereby reducing the thermal budget requirements for such a process.
    Type: Grant
    Filed: March 30, 2001
    Date of Patent: March 9, 2004
    Assignee: Applied Materials Inc.
    Inventors: Fabrice Geiger, Frederic Gaillard
  • Publication number: 20040043332
    Abstract: The present invention provides a method of manufacturing a semiconductor device capable of highly detailed patterning using a resist pattern having smoothed wall surfaces and reduced roughness. The method includes the steps of: forming a resist pattern over a base layer; applying a resist pattern smoothing material onto a surface of the resist pattern, thereafter heating and developing; and etching the base layer using the smoothed resist pattern, wherein one of an application thickness and a heat temperature is adjusted so as to smooth at least wall surfaces of the resist pattern. Aspects in which a maximum opening dimension and a minimum opening dimension of the smoothed resist pattern are ±5% of a predetermined opening dimension D (nm), and an average opening dimension Dav. (nm) of the smoothed resist pattern satisfies Dav. (nm)≧D (nm)×(90/100), are preferable.
    Type: Application
    Filed: August 26, 2003
    Publication date: March 4, 2004
    Applicant: FUJITSU LIMITED
    Inventors: Hajime Yamamoto, Satoshi Takechi
  • Patent number: 6693049
    Abstract: A method for filling a fine hole, having a hole pattern diameter of less than or equal to 0.18 &mgr;m including steps of: (i) filling the fine hole with filler which is obtained by dissolving into an organic solvent a nitrogen-containing compound having mean molecular weight of less than or equal to 800 and containing at least one compound selected from melamine, benzoguanamine, acetoguanamine, glycol-uril, urea, thiourea, guanidine, alkyleneurea and succinylamide, in which hydrogen atoms of amino groups are substituted by at least one hydroxyalkyl group or an alkoxyalkyl groups or both hydroxyalkyl and alkoxyalkyl groups; (ii) drying the filler; and (iii) heating the filler at a temperature of 150-250° C., whereby no bubbles are generated when the fine hole is filled.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: February 17, 2004
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Etsuko Iguchi, Takeshi Tanaka
  • Patent number: 6649537
    Abstract: The present invention provides a method of forming a dielectric on a semiconductor substrate. A dielectric is grown at a substrate interface in a plurality of increments. Stress is relieved at the dielectric substrate interface between each increment. In another aspect, stress relief is performed by annealing the substrate. The annealing is performed by placing the substrate in an inert environment and by raising the temperature surrounding the substrate.
    Type: Grant
    Filed: November 19, 2001
    Date of Patent: November 18, 2003
    Assignee: LSI Logic Corporation
    Inventors: Steven E. Reder, Hemanshu D. Bhatt
  • Patent number: 6639285
    Abstract: A method for making a semiconductor device is provided. The method allows for depositing a layer of a doped dielectric. The method further allows for executing plasma etching so that one or more etchant gases flow over the layer of doped dielectric. A redepositing step allows for redepositing another layer of doped dielectric over the plasma etched layer. The present invention enables to remove crystal defects that may be present in the doped dielectric surface and improve surface planarity.
    Type: Grant
    Filed: November 15, 2000
    Date of Patent: October 28, 2003
    Assignee: Agere Systems, Inc.
    Inventors: Jonathon Marlon Lobbins, Lauri Monica Nelson, Danica Deshone Smith, Dominique A. Wesby
  • Patent number: 6635586
    Abstract: A method of forming a SOG insulation layer of a semiconductor device comprises forming the SOG insulation layer on a substrate having a stepped pattern by using a polysilazane in a solution state, performing a pre-bake process for removing solvent elements of the insulation layer at a temperature of 50 to 350° C., performing a hard bake process for restraining particles from forming at a temperature of 350 to 500° C., and annealing at a temperature of 600 to 1200° C. The method of the invention further includes planarizing the insulation layer between the hard bake process and the annealing step. Also, the hard bake process can be omitted.
    Type: Grant
    Filed: October 15, 2001
    Date of Patent: October 21, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-Seon Goo, Eun-Kee Hong, Hong-Gun Kim, Jin-Gi Hong
  • Publication number: 20030190819
    Abstract: The invention relates to a method for directly patterning a low-k dielectric layer by a high energy flow without using any photoresist layer, so that the exposed portion of the low-k dielectric layer is cured and becomes insoluble to the developing solution. The unexposed portion of the low-k dielectric layer remains soluble to the developing solution and will be removed in the developing process. The performance and reliability of the devices are improved and the fabrication processes are simplified.
    Type: Application
    Filed: April 9, 2002
    Publication date: October 9, 2003
    Inventors: Ting-Chang Chang, Po-Tsun Liu, Jeng-Tzong Sheu
  • Publication number: 20030190818
    Abstract: A method of reducing undesired topographic features, increasing film density, and/or increasing adhesion to an underlying substrate in a polymer film formed on a microelectronic substrate, comprises: (a) providing a microelectronic substrate, the substrate having a polymer film deposited thereon; (b) contacting the substrate to carbon dioxide (optionally containing additional ingredients such as cosolvents or chemical intermediates); and (c) elevating the pressure of the carbon dioxide to plasticize the polymer film and reduce undesired topographic features, increase film density, and/or increase adhesion of the film to the underlying substrate.
    Type: Application
    Filed: April 3, 2002
    Publication date: October 9, 2003
    Inventors: Ruben Carbonell, Joseph M. DeSimone, James B. McClain, James DeYoung
  • Publication number: 20030186558
    Abstract: A method of planarizing a layer of an integrated circuit. In one embodiment, a liquid film is applied over the layer, using extrusion coating techniques. In another embodiment, the layer itself may be applied as a liquid film, using extrusion techniques.
    Type: Application
    Filed: July 15, 2002
    Publication date: October 2, 2003
    Inventor: Michael F. Brenner
  • Patent number: 6627384
    Abstract: The present invention relates to photoresist compositions for resist flow process and processes for forming a contact hole pattern using the same. In particular, the present invention relates to photoresist composition comprising a thermal curing agent which cures photoresist composition at an elevated temperature. In one embodiment, the thermal curing agent comprises a thermal acid generator and a curing compound. Preferably, the curing compound comprises a cross-linking moiety which is capable of curing the photoresist composition when reacted with the acid that is generated by the thermal acid generator. Photoresist compositions of the present invention reduces or eliminate overflow of photoresist during a resist flow process, thereby preventing a contact hole pattern from being destroyed. In addition, photoresist compositions of the present invention allow formation of uniform sized patterns and increase in etching selection rate.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: September 30, 2003
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Hyeong Soo Kim, Jae Chang Jung
  • Patent number: 6624089
    Abstract: In connection with wafer planarization, an apparatus for forming a layer of material having a substantially uniform thickness and substantially parallel first and second major surfaces includes a pair of pressing elements and a stop. Each of the pair of pressing elements has a flat pressing surface. The pressing surfaces are opposed to one another and operable to compress a quantity of the material therebetween. The stop is positioned at least partially between the pressing surfaces and has a thickness substantially equal to the desired uniform thickness of the layer. The stop is positioned to establish a spacing between the flat pressing surfaces that is substantially equal to the thickness of the stop and thereby to the desired uniform thickness of the layer when the pressing elements engage the stop.
    Type: Grant
    Filed: May 28, 2002
    Date of Patent: September 23, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Guy T. Blalock, Hugh E. Stroupe, Brian F. Gordon
  • Patent number: 6624092
    Abstract: A method is used to form an insulating layer with foamed structure. About the method, a gel layer over a substrate, where the gel layer includes several types of solution, an unextractable material, and a solvent. The substrate is then put in a closed pressure chamber. The closed pressure chamber is heated to a subcritical temperature with respect to the material which is included in the gel layer but to be extracted out. In this situation, liquid and the material to be extracted all turn to a vapor phase due to the pressure in the pressure chamber has reached the subcritical pressure, whereby materials are extracted. Under this temperature, the vapor is flushed away, and a noble gas is flushed into the pressure chamber for cleaning. The substrate with the gel layer is cooled down to the environmental temperature. Then the substrate is taken out from the pressure chamber.
    Type: Grant
    Filed: June 28, 2001
    Date of Patent: September 23, 2003
    Assignee: Macronix International Co., Ltd.
    Inventor: Tahorng Yang
  • Patent number: 6620534
    Abstract: A method of forming a film having enhanced reflow characteristics at low thermal budget is disclosed, in which a surface layer of material is formed above a base layer of material, the surface layer having a lower melting point than the base layer. In this way, a composite film having two layers is created. After reflow, the surface layer can be removed using conventional methods.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: September 16, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtei Sandhu, Randhir P. S. Thakur
  • Patent number: 6599771
    Abstract: A thermal type infrared sensor and a method of manufacturing the same that have a high degree of freedom of structure and a low cost. An infrared ray detecting portion and a support leg are formed above flat plate-shape void formed inside of a semiconductor substrate, and a processing circuit section of a signal from a detecting portion is fabricated on the semiconductor substrate. Because the structure of the processing circuit section is not influenced by a substrate structure, characteristics are improved. Furthermore, the structure is simplified, and it is possible to reduce a manufacturing cost.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: July 29, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Naoya Mashio, Yoshinori Iida, Keitaro Shigenaka
  • Patent number: 6596618
    Abstract: The present invention provides a method of forming solder bumps on a semiconductor chip, for flip-chip bonding, having increased height to improve the solder joint reliability of the flip-chip bonded chip and carrier assembly. According to the present invention, a second layer of solder structure is deposited on to each of the solder bump precursor structures formed by a first layer of solder structure to increase the solder-bump volume, which results in solder bumps with increased height.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: July 22, 2003
    Assignee: Altera Corporation
    Inventors: Kollengode S. Narayanan, Mohammad Eslamy
  • Patent number: 6593247
    Abstract: A silicon oxide layer is produced by plasma enhanced oxidation of an organosilicon compound to deposit films having a carbon content of at least 1% by atomic weight. Films having low moisture content and resistance to cracking are deposited by introducing oxygen into the processing chamber at a flow rate of less than or equal to the flow rate of the organosilicon compounds, and generating a plasma at a power density ranging between 0.9 W/cm2 and about 3.2 W/cm2. An optional carrier gas may be introduced to facilitate the deposition process at a flow rate less than or equal to the flow rate of the organosilicon compounds. The organosilicon compound preferably has 2 or 3 carbon atoms bonded to each silicon atom, such as trimethylsilane, (CH3)3SiH. An oxygen rich surface may be formed adjacent the silicon oxide layer by temporarily increasing oxidation of the organosilicon compound.
    Type: Grant
    Filed: April 19, 2000
    Date of Patent: July 15, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Tzu-Fang Huang, Yung-Cheng Lu, Li-Qun Xia, Ellie Yieh, Wai-Fan Yau, David W. Cheung, Ralf B. Willecke, Kuowei Liu, Ju-Hyung Lee, Farhad K. Moghadam, Yeming Jim Ma
  • Patent number: 6576565
    Abstract: An apparatus (110) and method for depositing material on a semiconductor wafer with non-planar structures (114). The wafer (114) is positioned in a chamber (111), and reactive gases (132) are introduced into the chamber (111). The gases (132) and wafer (114) are heated, wherein the gas (132) temperature in the process chamber (111) and in the vicinity of the wafer (114) surface is lower than the temperature of the wafer (114) surface. A material is deposited on the wafer (114) surface using chemical vapor deposition. A gas cooler may be utilized to lower the temperature of the reactive gases (132) while the wafer (114) is heated.
    Type: Grant
    Filed: February 14, 2002
    Date of Patent: June 10, 2003
    Assignees: Infineon Technologies, AG, International Business Machines Corporation
    Inventors: Ashima Chakravarti, Oleg Gluschenkov, Irene Lennox McStay
  • Patent number: 6569782
    Abstract: An insulating layer having a BPSG layer, a semiconductor device and methods for fabricating them. After preparing an oxidizing atmosphere using an oxygen gas, a first seed layer is formed with a tetraethylorthosilicate (TEOS) and the oxygen gas. Thereafter, a second seed layer, used to form an insulating layer capable of controlling an amount of a boron, is formed by means of using a triethylborate (TEB), the TEOS and the oxygen gas. Then, the insulating layer having a BPSG layer is formed using the TEB, a triethylphosphate, the TEOS and an ozone gas. About 5.25 to 5.75% by weight of the boron and about 2.75 to 4.25% by weight of the phosphorous are added to the insulating layer.
    Type: Grant
    Filed: March 8, 2001
    Date of Patent: May 27, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Ho Jeon, Byoung-Deog Choi, Jong-Seung Yi, Tae-Wook Seo
  • Publication number: 20030096442
    Abstract: The disclosed method provides a method capable of removing dangling bonds generated on a surface of photodiode. The method includes steps of: forming a photodiode in a semiconductor substrate; forming a transfer transistor, a reset transistor, a drive transistor and a select transistor on the semiconductor substrate; forming a first interlayer insulating layer on the semiconductor substrate, wherein the first interlayer insulating layer contains hydrogen ions; forming a second interlayer insulating layer on the first interlayer insulating layer; and flattening the second interlayer insulating layer by flowing and simultaneously diffusing the hydrogen ions into a surface of the photodiode.
    Type: Application
    Filed: August 14, 2002
    Publication date: May 22, 2003
    Inventor: Ju-Il Lee
  • Patent number: 6558877
    Abstract: A system and method is disclosed for coating a conventional wafer or a spherical shaped semiconductor substrate with liquid material such as photoresist by utilizing a “drop on demand” piezo driven dispense nozzle, a bubble-jet dispense nozzle, or a continuous piezo jet with charging electrodes. The proposed system and method will greatly reduce, and in some cases virtually eliminate, the waste of photoresist in the process.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: May 6, 2003
    Assignee: Ball Semiconductor, Inc.
    Inventors: Akihito Ishikawa, Tomoki Tanaka, Nobuo Takeda, Masataka Yoshida
  • Patent number: 6550484
    Abstract: The present invention pertains to apparatus and methods for maintaining wafer back side, bevel, and front side edge exclusion during supercritical fluid processing. Apparatus of the invention include a pedestal and an exclusion ring. When the exclusion ring is engaged with the pedestal a channel is formed. A reactant-free supercritical fluid is passed through the channel and over a circumferential front edge of a wafer. The flow of reactant-free supercritical fluid protects the bevel and circumferential front edge of the wafer from exposure to reactants in a supercritical processing medium. The back side of the wafer is protected by contact with the pedestal and the flow of reactant-free supercritical fluid. Exclusion rings of the invention, when engaged with their corresponding pedestals make no or very little physical contact with the wafer front side.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: April 22, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Sanjay Gopinath, Patrick A. Van Cleemput, Francisco Juarez, Krishnan Shrinivasan
  • Patent number: 6534396
    Abstract: Within a method for forming a microelectronic fabrication there is first provided a substrate. There is then formed over the substrate a patterned conductor layer having a topographic variation at a periphery of the patterned conductor layer. There is then formed over the substrate and passivating the topographic variation at the periphery of the patterned conductor layer a planarizing passivation layer formed of a thermally reflowable material. There is then formed upon the planarizing passivation layer a dimensionally stabilizing layer. Finally, there is then thermally annealed the microelectronic fabrication to form from the planarizing passivation layer a thermally annealed planarizing passivation layer. By employing formed upon the planarizing passivation layer the dimensionally stabilizing layer, there is attenuated within the thermally annealed planarizing passivation layer replication of the topographic variation at the periphery of the patterned conductor layer.
    Type: Grant
    Filed: October 10, 2000
    Date of Patent: March 18, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fu-Jier Fahn, Kuo-Wei Lin, James Chen, Eugene Cheu, Chien-Shian Peng, Gilbert Fan, Kenneth Lin
  • Patent number: 6530340
    Abstract: This invention describes improved apparatus and methods for spin-on deposition of semiconductor thin films. The improved apparatus provides for controlled temperature, pressure and gas compositions within the deposition chamber. The improved methods comprise dispensing of solutions containing thin film precursor via a moveable dispensing device and the careful regulation of the pattern of deposition of the precursor solution onto the wafer. The invention also comprises the careful regulation of deposition variables including dispensation time, wafer rpm, stop time and rates of wafer rotation. In one embodiment, the precursor solution is dispensed from the outer edge of the wafer toward the center. In alternative embodiments, processors regulate the movement of the dispensing arm and the precursor pump to provide an evenly dispensed layer of precursor solution. The invention also describes improved methods for evaporating solvents and curing thin films.
    Type: Grant
    Filed: November 12, 1998
    Date of Patent: March 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Dawn Hopper, Richard J. Huang
  • Publication number: 20030040194
    Abstract: A spin-on glass (SOG) composition and a method of forming a silicon oxide layer utilizing the SOG composition are disclosed. The method includes coating on a semiconductor substrate having a surface discontinuity, an SOG composition containing perhydropolysilazane having a compound of the formula —(SiH2NH)n— wherein n represents a positive integer, a weight average molecular weight within the range of about 4,000 to 8,000, and a molecular weight dispersion within the range of about 3.0 to 4.0, to form a planar SOG layer. The SOG layer is converted to a silicon oxide layer with a planar surface by curing the SOG layer. Also disclosed is a semiconductor device made by the method.
    Type: Application
    Filed: October 24, 2002
    Publication date: February 27, 2003
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jung-Ho Lee, Jung-Sik Choi, Hong-Ki Kim, Dong-Jun Lee, Dae-Won Kang, Sang-Mun Chon
  • Patent number: 6524774
    Abstract: The present invention is directed to a system and method for controlling the formation of a layer of photoresist. In one illustrative embodiment, the method comprises sensing a viscosity of the photoresist material to be applied on a process layer, providing the sensed viscosity to a controller that determines, based upon the sensed viscosity, at least one parameter of a photoresist application process used to apply the photoresist material, and applying the photoresist using an application process that is comprised of said determined parameter. In one illustrative embodiment, the system is comprised of at least one sensor for sensing the viscosity of the photoresist, a controller that receives the sensed viscosity and determines, based upon the sensed viscosity, at least one parameter of the application process used to apply the photoresist, and a tool for applying the photoresist using a process that includes the determined parameter.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: February 25, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Thomas Sonderman
  • Patent number: 6518175
    Abstract: An integrated circuit fabrication process to pattern reduced feature size is disclosed herein. The process includes reducing the width of a patterned area of a patterned photoresist layer provided over a substrate before patterning the substrate. The patterned area is representative of a feature to be formed in the substrate. The width of the feature is reduced by an electron beam mediated heating and flowing of select areas of the patterned photoresist layer.
    Type: Grant
    Filed: January 29, 2001
    Date of Patent: February 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Uzodinma Okoroanyanwu
  • Patent number: 6509627
    Abstract: The invention is a method for constructing an integrated circuit structure and an apparatus produced by the method. The method generally comprises constructing an integrated circuit structure by disposing a layer of doped oxide, the dopant being iso-electronic to silicon, and then reflowing the layer of doped oxide. Thus, the apparatus of the invention is an integrated circuit structure comprising a reflowed layer of doped oxide wherein the dopant is iso-electronic to silicon. In one particular embodiment, the method generally comprises constructing an integrated circuit feature on a substrate; disposing a layer of doped oxide, the dopant being iso-electronic to silicon, over the integrated circuit feature and the substrate in a substantially conformal manner; reflowing the layer of doped oxide; and etching the insulating layer and the oxide.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: January 21, 2003
    Assignee: Micro Technology, Inc.
    Inventor: Anand Srinivasan
  • Publication number: 20030013211
    Abstract: The present invention offers a mend method for breakage dielectric film, applied to the reworking of a substrate with a conductive layer and a first dielectric layer in which an in-film particle has been embedded. In the subsequent planarization, the particle causes the formation of a hole defect. The method features the steps of: forming a second dielectric layer on the first dielectric layer to cover the hole defect; forming an SOG layer on the second dielectric layer to repair the hole defect; partially etching back to level the SOG layer; and forming a third dielectric layer on the SOG layer. The present invention thus reworks the damaged dielectric layer by the SOG process.
    Type: Application
    Filed: July 13, 2001
    Publication date: January 16, 2003
    Inventors: Chu-Chun Hu, Hsiao-Che Wu
  • Publication number: 20030003766
    Abstract: A process for producing a crystalline thin film is provided which comprises melting and resolidifying a starting thin film having regions different in the state coexisting continuously. A small region of the starting thin film has a size distribution of number concentration of crystal grains or crystalline clusters different from that of the surrounding region. In the process of melting and resolidification, the crystal grain grows preferentially in the one region to control the location of the crystal grain in the crystalline thin film.
    Type: Application
    Filed: May 29, 2002
    Publication date: January 2, 2003
    Inventors: Hideya Kumomi, Hidemasa Mizutani, Shigeki Kondo
  • Publication number: 20030003765
    Abstract: A split barrier layer enables copper interconnect wires to be used in conjunction with low-k dielectric films by preventing the diffusion of N—H base groups into photoresists where they can render the photoresist insoluble. The split barrier layer is disposed between the copper and the low-k dielectric and includes a nitrogen-containing, oxygen-free film which contacts the copper, and an oxygen-containing, nitrogen-free film which contacts the low-k dielectric film. The nitrogen-containing film prevents the formation of undesirable copper oxides, and the oxygen-containing film prevents the diffusion of N—H base groups into the low-k dielectric films. The oxygen-containing film may be an oxygen-doped silicon carbide film in an exemplary embodiment. In another embodiment, a film stack of low-k dielectric films includes an etch-stop layer and hardmask each formed of oxygen-doped silicon carbide.
    Type: Application
    Filed: January 2, 2002
    Publication date: January 2, 2003
    Inventors: Gerald W. Gibson, Scott Jessen, Steven Alan Lytle, Kurt George Steiner, Susan Clay Vitkavage
  • Patent number: 6500771
    Abstract: A method for fabricating a boron-contained silicate glass layers, such as borosilicate and borophosphosilicate glass films at low temperature using High Density Plasma CVD with silane derivatives as a source of silicon, boron and phosphorus compounds as a doping compounds, oxygen is described. RF plasma with certain plasma density is maintained throughout the entire deposition step in reactor chamber. Key feature of the invention's process is a flow capability of boron-contained silicate glass materials which provide a film with good film integrity and void-free gap-fill within the steps of device structures after low temperature thermal budget anneal conditions.
    Type: Grant
    Filed: January 31, 2000
    Date of Patent: December 31, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Vladislav Vassiliev, John Leonard Sudijono, Alan Cuthbertson
  • Patent number: 6495439
    Abstract: Significant amounts of pattern distortion were found to be the result of reflowing borophosphosilicate glass (BPSG) and silicon dioxide shrinkage during high temperature junction anneals. In order to remedy this problem, a method for suppressing the pattern distortion by subjecting the wafer coated with BPSG and with silicon dioxide layers to a high temperature anneal before patterning is disclosed. The high temperature anneal densifies the undoped silicon dioxide before patterning, so that shrinkage of the undoped silicon dioxide does not affect the patterning steps.
    Type: Grant
    Filed: June 22, 1999
    Date of Patent: December 17, 2002
    Assignees: International Business Machines Corporation, Siemens Aktiengesellschaft
    Inventors: Jeffrey Peter Gambino, Son Van Nguyen, Reinhard Stengl