Utilizing Reflow (e.g., Planarization, Etc.) Patents (Class 438/760)
  • Patent number: 6096654
    Abstract: Improved gap fill of narrow spaces is achieved by using a doped silicate glass having a dopant concentration in a bottom portion thereof which is greater than an amount which causes surface crystal growth and in an upper portion thereof having a lower dopant concentration such that the overall dopant concentration of the doped silicate glass is below that which causes surface crystal growth.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: August 1, 2000
    Assignee: Siemens Aktiengesellschaft
    Inventors: Markus M. Kirchhoff, Matthias Ilg
  • Patent number: 6096629
    Abstract: A method for forming a Schottky diode. There is first provided a silicon layer. There is then formed upon the silicon layer an anisotropically patterned first dielectric layer which defines a Schottky diode contact region of the silicon layer. There is then formed and aligned upon the anisotropically patterned first dielectric layer a patterned second dielectric layer which is formed of a thermally reflowable material. There is then reflowed thermally the patterned second dielectric layer to form a thermally reflowed patterned second dielectric layer having a uniform sidewall profile with respect to the anisotropically patterned first dielectric layer while simultaneously forming a thermal silicon oxide layer upon the Schottky diode contact region of the silicon layer.
    Type: Grant
    Filed: November 5, 1998
    Date of Patent: August 1, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Jun-Lin Tsai, Yen-Shih Ho
  • Patent number: 6083821
    Abstract: The invention proposes methods for producing integrated circuits wherein the dielectric constant between closely spaced and adjacent metal lines is approaching 1. One method of the invention uses low-melting-point dielectric to form a barrier forming a void between conductive lines. Another method of the invention uses sidewall film to form a similar barrier.
    Type: Grant
    Filed: October 29, 1998
    Date of Patent: July 4, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Alan R. Reinberg
  • Patent number: 6083850
    Abstract: The use of HSQ as a dielectric interlayer without cracking is achieved by depositing HSQ on a planarized dielectric layer, such as a silicon oxide derived from TEOS or silane. Embodiments include depositing a first HSQ gap fill layer on a patterned metal layer for gap filling leaving a non-planar upper surface. Depositing a thin layer of silicon oxide and planarizing the upper surface as by CMP, and depositing the HSQ dielectric interlayer on the planarized upper surface of the oxide layer.
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: July 4, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Jeffrey A. Shields
  • Patent number: 6080652
    Abstract: A method of fabricating a semiconductor device having a multi-layered wiring and including dummy wiring not contributing to connection of circuit elements, comprising the steps of: a) preliminarily preparing relationship between width of an isolated lower level wiring and thickness of an interlayer insulating layer with a planarized function formed on the isolated lower level wiring; b) preparing experimental results by forming dense wiring patterns in a first region on a semiconductor substrate, forming an interlayer insulating layer with a planarized function thereon, and measuring thickness of the interlayer insulating layer; c) determining a width of a dummy wiring to be disposed below an isolated upper level wiring, based on the relationship and the measuremental result; d) forming dense lower level wirings in a first region on another semiconductor substrate and a single lower level wiring having the desired width as a dummy wiring only at a location where an upper level wiring is to be formed in a seco
    Type: Grant
    Filed: March 25, 1998
    Date of Patent: June 27, 2000
    Assignee: Yamaha Corporation
    Inventors: Takahisa Yamaha, Seiji Hirade
  • Patent number: 6069069
    Abstract: A method for preserving the integrity of the underlying metal lines during planarization by inserting a nitride layer as an etch stop in an oxide-nitride-oxide dielectric layer underlying a spin-on polymer is described. Semiconductor device structures are provided in and on a semiconductor substrate. A conducting layer is deposited overlying the surfaces of the semiconductor device structures and patterned to form conducting lines wherein a gap is formed between the conducting lines. A first dielectric layer is deposited over the surfaces of the conducting lines wherein the first dielectric layer contains an etch stop layer wherein the gap remains between the conducting lines. A second dielectric layer is deposited overlying the first dielectric layer wherein the gap is filled by the second dielectric layer. The second dielectric layer is etched back so that the second dielectric layer remains only within the gap wherein the etch stop layer preserves the integrity of the underlying conducting lines.
    Type: Grant
    Filed: December 16, 1996
    Date of Patent: May 30, 2000
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Simon Yew-Meng Chooi, Jia Zhen Zheng, Lap Chan
  • Patent number: 6066575
    Abstract: A semiconductor processor for spray coating wafers or other semiconductor articles. The processor has a compartment in which are mounted a wafer transfer, coating station and thermal treatment station. The coating station has a spray processing vessel in which a movable spray-head and rotatable wafer holder. The spray station has coating viscosity control features. An ultrasonic resonating spray-head is precisely supplied with coating from a metering pump. The heat treatment station heat cures the coating and then cools the wafer. The system allows coatings to be applied in relatively uniform conformational layers upon irregular surfaces.
    Type: Grant
    Filed: June 26, 1997
    Date of Patent: May 23, 2000
    Assignee: Semitool, Inc.
    Inventors: Timothy J. Reardon, Craig P. Meuchel, Thomas H. Oberlitner
  • Patent number: 6054397
    Abstract: A method for improving the planarization of a BPSG layer over a semiconductor substrate, where the substrate contains underlying structures, is disclosed. The method comprises the steps of: forming a first borophosphosilicate glass (BPSG) layer over and between the underlying structures; reflowing the first BPSG layer using a thermal process; performing a chemical mechanical polishing (CMP) step on the first BPSG layer; forming a second BPSG layer over the first BPSG layer; and reflowing the second BPSG layer using a thermal process.
    Type: Grant
    Filed: July 28, 1999
    Date of Patent: April 25, 2000
    Assignees: ProMOS Technologies Inc., Mosel Vitelic Inc., Siemans AG
    Inventor: Yung-nien Teng
  • Patent number: 6048801
    Abstract: A method of forming an interlayer film on a substrate with a plurality of patterns formed thereon wherein the interlayer film is deposited on the substrate by a process comprising a plurality of steps in each of which a portion of the film is deposited so as to have different fluidity with the same source material.
    Type: Grant
    Filed: June 30, 1998
    Date of Patent: April 11, 2000
    Assignee: Sony Corporation
    Inventor: Masaki Hara
  • Patent number: 6048475
    Abstract: Improved gap fill of narrow spaces is achieved by using a doped silicate glass having a dopant concentration in a bottom portion thereof which is greater than an amount which causes surface crystal growth and in an upper portion thereof having a lower dopant concentration such that the overall dopant concentration of the doped silicate glass is below that which causes surface crystal growth.
    Type: Grant
    Filed: September 28, 1999
    Date of Patent: April 11, 2000
    Assignee: Siemens Aktiengesellschaft
    Inventors: Markus M. Kirchhoff, Matthias Ilg
  • Patent number: 6048799
    Abstract: A method for improving the planarity of a layer of material formed on the surface of a topographic substrate, is disclosed. The layer of planarization material formed over the topographic substrate has a first area and a second area. The planarization material in the first area is proximate to the perimeter of the topographic substrate and surrounds the planarization material in the second area. The planarization material in the first area is partially solidified, so that the planarization material in the second area is substantially confined throughout the height of the planarization material in the first area and remains within the perimeter of the topographic substrate when the surface of the layer of planarization material is planarized. The planarization material is planarized by contacting such material with a flat surface of an object with sufficient force to transfer the surface flatness from the flat surface of the object to the layer of planarization material.
    Type: Grant
    Filed: August 7, 1998
    Date of Patent: April 11, 2000
    Assignee: Lucent Technologies Inc.
    Inventor: Judith Ann Prybyla
  • Patent number: 6037258
    Abstract: A method for fabricating a copper interconnect structure, in a damascene type opening, comprised a thick copper layer, obtained via an electro-chemical deposition procedure, and comprised of an underlying, copper seed layer, featuring a smooth top surface topography, has been developed. The smooth top surface topography, of the underlying copper seed layer, is needed to allow the voidless deposition of the overlying, thick copper layer, and is also needed to allow the deposition of the overlying thick copper layer to be realized, with a surface that can survive a chemical mechanical polishing procedure, without the risk of unwanted dishing or spooning phenomena. The desirable, copper seed layer, is obtained via a process sequence that features: a plasma vapor deposition of a first copper seed layer; an argon purge procedure; and a second plasma vapor deposition of a second copper seed layer.
    Type: Grant
    Filed: May 7, 1999
    Date of Patent: March 14, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 6028013
    Abstract: A method of making an inter-metal oxide layer over a patterned metallization layer of a substrate, and the resulting structure having the inter-metal oxide layer are provided. The method includes depositing a fluorine doped high density plasma (HDP) oxide layer over the patterned metallization layer. The fluorine doped HDP oxide layer is configured to evenly deposit in high aspect ratio regions of the patterned metallization layer. The method also includes depositing a plasma enhanced chemical vapor deposition (PECVD) oxide layer over the fluorine doped HDP oxide layer. The PECVD oxide layer is doped with a phosphorous material. A CMP operation is then performed over the PECVD oxide layer to remove topographical oxide variations, such that the CMP operation will be configured to preferably leave at least a coating of the PECVD oxide layer over the HDP oxide layer.
    Type: Grant
    Filed: May 6, 1999
    Date of Patent: February 22, 2000
    Assignee: VLSI Technology, Inc.
    Inventors: Rao V. Annapragada, Samuel Vance Dunton, Milind Ganesh Weling, Subhas Bothra
  • Patent number: 6025279
    Abstract: A method of rapid thermal annealing (RTA) a TEOS oxide layer 50 that underlies a silicon nitride stop layer 60. The RTA of the TEOS-Oxide ILD layer 50 prevents the nitride stop layer 60 and oxide ILD layer 50 from peeling in subsequent thermal steps. The process comprises providing a semiconductor structure 10 with an uneven surface; forming an interlevel dielectric layer 50 composed of PE-TEOS oxide over the structure 10; rapid thermal annealing (RTA) the third interlevel dielectric layer 50 at a temperature between about 850 and 1015.degree. C. for a time between about 10 and 50 seconds; depositing a silicon nitride layer 60 over the third interlevel dielectric layer 50; and planarizing the silicon nitride layer 60 and the third interlevel dielectric layer 50.
    Type: Grant
    Filed: May 29, 1998
    Date of Patent: February 15, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Min-Hsiung Chiang, Chen-Jong Wang, Jenn Ming Huang
  • Patent number: 6010959
    Abstract: A method is provided for improving the adhesion between a photoresist layer and a dielectric, and an integrated circuit formed according to the same. A conformal dielectric layer is formed over the integrated circuit. An interlevel dielectric layer is formed over the conformal dielectric layer. The interlevel dielectric layer is doped such that the doping concentration allows the layer to reflow while partially inhibiting the adhesion of the doped layer to photoresist at an upper surface of the doped layer. An undoped dielectric layer is formed over the doped dielectric layer. A photoresist layer is formed and patterned over the undoped dielectric layer which adheres to the undoped dielectric layer. The undoped dielectric, the interlevel dielectric and the conformal dielectric layers are etched to form an opening exposing a portion of an underlying conductive region.
    Type: Grant
    Filed: September 14, 1998
    Date of Patent: January 4, 2000
    Assignee: STMicroelectronics, Inc.
    Inventors: John C. Sardella, Alexander Kalnitsky, Charles R. Spinner, III, Robert Carlton Foulks, Sr.
  • Patent number: 6004622
    Abstract: A process for spreading and flowing in a flowable dielectric during manufacture of an integrated circuit resulting in greater planarity and better gap filling ability. The process involves spinning the integrated circuit while controlling evaporation of the solvent from the flowable dielectric to increase the amount of flow in time and decrease spin velocity during flow in to improve planarity in gap filling ability. The process includes supporting the integrated circuit in a chamber; dispensing the flowable dielectric in a solvent on the integrated circuit in the chamber; covering the integrated circuit to provide a controllable environment within the chamber after the step of dispensing; spinning the integrated circuit while controlling the controllable environment to spread and flow in the flowable dielectric; uncovering the integrated circuit within the chamber; spinning the integrated circuit to spin off flowable dielectric; and curing the flowable the flowable dielectric.
    Type: Grant
    Filed: October 17, 1997
    Date of Patent: December 21, 1999
    Assignee: Macronix International Co., Ltd.
    Inventors: Daniel L. W. Yen, Been Yih Jin, Ming Hong Wang
  • Patent number: 5994215
    Abstract: Significant amounts of pattern distortion were found to be the result of reflowing borophosphosilicate glass (BPSG) and silicon dioxide shrinkage during high temperature junction anneals. In order to remedy this problem, a method for suppressing the pattern distortion by subjecting the wafer coated with BPSG and with silicon dioxide layers to a high temperature anneal before patterning is disclosed. The high temperature anneal densities the undoped silicon dioxide before patterning, so that shrinkage of the undoped silicon dioxide does not affect the patterning steps.
    Type: Grant
    Filed: January 20, 1998
    Date of Patent: November 30, 1999
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey Peter Gambino, Son Van Nguyen
  • Patent number: 5985692
    Abstract: A method for flip-chip bonding an integrated circuit die to a substrate. The method includes the steps of providing the integrated circuit die with at least one gold bump, forming a barrier layer on the gold bump, forming a bronzing agent on the barrier layer, and providing the substrate with at least one conductive bonding area, which is also covered with gold. The bronzing agent on the integrated circuit die is then aligned on the conductive bonding area, and a compression force is applied to the die and substrate so as to establish contact between the bronzing agent and the conductive bonding area. While maintaining position between the gold bump and conductive bonding area, the structure is alloyed such that the bronzing agent and the gold on the conductive bonding area form an intermetallic compound, thereby forming a bond between the die and the substrate. The barrier layer functions to prevent the bronzing agent from diffusing with the gold bump.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: November 16, 1999
    Assignee: MicroUnit Systems Engineering, Inc.
    Inventors: Paul Poenisch, James A. Matthews, Trancy Tsao
  • Patent number: 5981354
    Abstract: An improved planarization process for a trench dielectric is presented. A shallow trench isolation structure is formed into the semiconductor substrate. A thin oxide layer is grown upon the trench floor and upon the trench sidewalls, and then a trench dielectric, preferably TEOS deposited using a chemical-vapor deposition CVD process, is deposited into the trench dielectric and upon the semiconductor substrate. The upper surface of the trench dielectric conforms to the underlying contour defined by the shallow trench and the semiconductor substrate. Subsequent device formation requires a substantially planar semiconductor. Conventionally, a combination of masking and etching are used, prior to chemical-mechanical polishing ("CMP"), to aid the planarization process. The extra steps add cost and unnecessary complexity to the process. An alternative planarization process is proposed which uses hydrogen silsequioxane-based flowable oxide ("HSQ").
    Type: Grant
    Filed: March 12, 1997
    Date of Patent: November 9, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Thomas E. Spikes, Fred N. Hause, Daniel Kadosh
  • Patent number: 5969409
    Abstract: A wafer planarization process which utilizes combined high density plasma chemical vapor deposition (HDP-CVD) process and chemical mechanical polishing (CMP) process is disclosed. This process includes the steps of (a) forming a first HDP-CVD layer on the surface of a semiconductor wafer using a first HDP-CVD composition having a higher etching/depositing component ratio and thus a lower CMP removal rate; (b) forming a second HDP-CVD layer on the first HDP-CVD layer using the same HDP-CVD process but with a second HDP-CVD composition having a highest etching/depositing component ratio and thus the lowest CMP removal rate; (c) forming a third HDP-CVD layer on the second HDP-CVD layer using the same HDP-CVD process but with a third HDP-CVD composition having a low etching/depositing component ratio and thus a high CMP removal rate; and (d) using a chemical mechanical process to remove at least a part of the third HDP-CVD layer using the second HDP-CVD layer as a stopper.
    Type: Grant
    Filed: February 12, 1999
    Date of Patent: October 19, 1999
    Assignee: Winbond Electronics Corp
    Inventor: Chi-Fa Lin
  • Patent number: 5963837
    Abstract: A method for planarizing a semiconductor structure having a first surface region with a high aspect ratio topography and a second surface region with a low aspect ratio topography. A flowable material is deposited over the first and second surface regions of the structure. A portion of the material fills gaps in the high aspect ratio topography to form a substantially planar surface over the high aspect ratio topography. A doped layer, for example phosphorus doped glass, is formed over the flowable oxide material. The doped layer is disposed over the high aspect ratio and over the low aspect ratio regions. Upper surface portions over the low aspect ratio region are higher than an upper surface of the flowable material. The upper portion of the doped layer is removed over both the first and second surface portions to form a layer with a substantially planar surface above both the high aspect ratio region and the low aspect ratio region.
    Type: Grant
    Filed: April 30, 1997
    Date of Patent: October 5, 1999
    Assignee: Siemens Aktiengesellschaft
    Inventors: Matthias Ilg, Dirk Tobben, Peter Weigand
  • Patent number: 5960287
    Abstract: In a conventional method, formation of an intermediate layer to serve as an insulating layer between a metal terminal on the surface of the device and a gate electrode of the device, along with heat treatment of the intermediate layer, is executed after formation of implanted diffusion layers to serve as bit lines of the device. In the method for manufacturing semiconductor memory devices according to the present invention, formation of the intermediate layer and heat treatment thereof are executed before formation of the implanted diffusion layers. The formation of the implanted diffusion layers is executed by introducing an impurity material into a memory cell region of the device with an energy enough to penetrate the intermediate layer. According to the method, heat diffusion of the impurity material due to the heat treatment step is prevented, and thus `Lmin`, i.e. the minimun channel length, can be set shorter and higher degree of integration of devices is made possible.
    Type: Grant
    Filed: August 28, 1997
    Date of Patent: September 28, 1999
    Assignee: NEC Corporation
    Inventor: Masao Kunitou
  • Patent number: 5960321
    Abstract: A method of forming a contact via includes forming a wiring, a first insulator layer, and a spin-on glass layer, respectively, over a semiconductor substrate. Fluorine ions are implanted into the spin-on glass layer. A second insulator layer is formed over the spin-on glass layer. The wiring is exposed by patterning the second insulator layer, the spin-on glass layer, and the first insulator layer, respectively.
    Type: Grant
    Filed: June 19, 1997
    Date of Patent: September 28, 1999
    Assignee: United Microelectronics Corp.
    Inventors: Ching-Hsing Hsieh, Chin-Ching Hsu, Chen-Chih Tsai, Jiunn Hsien Lin
  • Patent number: 5950105
    Abstract: A method for forming a completely buried contact hole and a semiconductor device having a completely buried contact hole in an interconnection structure is disclosed. The completely buried contact hole includes a first insulating layer of a first thermal conductivity having a contact hole formed therein. A region of material of a second thermal conductivity formed in the first insulating layer adjacent the location of the contact hole. The second thermal conductivity is greater than the first thermal conductivity such that the thermal conductivity of the region of material is greater than the thermal conductivity of the insulating layer. A metal is formed in the hole which completely buries the contact hole.
    Type: Grant
    Filed: March 20, 1997
    Date of Patent: September 7, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-sang Jung, Gil-heyun Choi, Ji-soon Park, Byeong-jun Kim
  • Patent number: 5945348
    Abstract: A region is formed in a semiconductor substrate and extends beyond the substrate surface. First and second interconnects each having a predetermined thickness and a surface approximately parallel to the substrate surface are formed on the region. The first and second interconnects define a trench therebetween. A third interconnect is formed on the substrate. The thicknesses of the first and second interconnects are reduced a first amount to improve the aspect ratio of the trench, to improve the cross-sectional profile of the trench, or both. The thickness of the third strip is reduced a second amount. The second amount may be smaller than the first amount.
    Type: Grant
    Filed: April 4, 1996
    Date of Patent: August 31, 1999
    Assignee: Micron Technology, Inc.
    Inventors: Guy Blalock, Scott Meikle, Sung Kim, Kirk Prall
  • Patent number: 5943602
    Abstract: A first embodiment of the present invention introduces a method to cure mobile ion contamination in a semiconductor device during semiconductor processing by the steps of: forming active field effect transistors in a starting substrate; forming a first insulating layer over the field effect transistor and the field oxide; forming a second insulating layer over the first insulating layer; and performing an annealing step in a nitrogen containing gas ambient prior to exposing the insulating layer to mobile ion impurities. A second embodiment teaches a method to cure mobile ion contamination during semiconductor processing by annealing an insulating layer in a nitrogen containing gas ambient prior to exposing said insulating layer to mobile ion impurities.
    Type: Grant
    Filed: May 1, 1998
    Date of Patent: August 24, 1999
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 5940734
    Abstract: An insulating film 16, made of BPSG, etc., is formed on a substrate 10 by CVD, covering an uneven surface, and then is subjected to thermal treatment to fluidize the film and to reduce the step. Hydrogen silsesquioxane resin solution is coated on the film 16 by spin coating, subjected to the first annealing at a relatively low temperature, and then to the second annealing at relatively high temperature, to form a glass film 18. The lamination of the films 16 and 18 is etched back under the dry etching conditions where the etch rates of the films 16 and 18 become approximately equal, until film 18 is completely removed, to planarize the film 16. A wiring is formed on the planarized surface. The surface of the insulating film serving as an underlying layer of a wiring can be planarized uniformly and with good reproducibility.
    Type: Grant
    Filed: December 2, 1997
    Date of Patent: August 17, 1999
    Assignee: Yamaha Corporation
    Inventor: Yushi Inoue
  • Patent number: 5918142
    Abstract: A method for fabricating a semiconductor device, wherein, when a blanket of the planarization layer is deposited and thermally treated for its reflow after the formation of a metal gate electrode consisting of a CVD-TiN layer pattern and a W layer pattern on a semiconductor substrate, a gate oxide is formed at the interface between the CVD-TiN layer and the semiconductor substrate by the reaction of the moisture absorbed in the CVD-TiN layer with the Si of the substrate, without executing an additional process and, thus, the stress between the gate oxide and the metal layer is not high, so that the gate oxide can be prevented from being degraded, and the production yield and the reliability of device operation is improved.
    Type: Grant
    Filed: June 26, 1997
    Date of Patent: June 29, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Heung Lak Park, Sang Hyeob Lee
  • Patent number: 5918152
    Abstract: A method is described for filling narrow gaps in a surface that is being overcoated. This has been achieved by heating the overcoating layer to a sufficient extent so that it flows relatively easily. This, in combination with externally applied pressure, causes the overcoating layer to effectively fill any narrow gaps in the surface being coated. Temperature and pressure are applied for a time that is sufficient to allow small quantities of gas that may have become trapped in the gaps to bubble to the surface. In an alternative embodiment, the surface to be coated is subjected to negative pressure prior to application of the coating. This eliminates the possibility of trapping gas in the gaps so a waiting time is no longer necessary.
    Type: Grant
    Filed: September 19, 1997
    Date of Patent: June 29, 1999
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Liu Erzhuang, Charles Lin, Yih-Shung Lin
  • Patent number: 5913131
    Abstract: An interlevel dielectric and a method for making same wherein boron is introduced into the dielectric though an implantation process. During the implantation process, either the boron-10 or the boron-11 boron isotope may be selected and introduced into the dielectric. Boron is introduced to make the dielectric flow at lower temperatures. Selectively implanting boron-10 or boron-11 during implantation, as opposed to buying boron comprising a specific boron isotope from a supplier and introducing boron during CVD, lowers the production costs. Furthermore, by introducing boron into the dielectric during the implantation process as opposed to during deposition of the dielectric during a CVD process, the dielectric layer is free of boron bumps. Boron-bearing dielectrics can be made to made to flow at lower temperatures than dielectrics which do not contain boron.
    Type: Grant
    Filed: November 14, 1996
    Date of Patent: June 15, 1999
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Tim Z. Hossain, Franklin D. Crawford, Jr., Don A. Tiffin
  • Patent number: 5910339
    Abstract: Fabrication of atomic step-free regions on a substrate surface is achieved by first forming a two-dimensional pattern on the substrate. The pattern is preferably a grating comprising an array of troughs or mesas which are separated from one another by a plurality of ridges or trenches. Any atomic steps on the flat top surfaces of the troughs or mesas are moved into barrier regions formed by the ridge or trench sidewalls during a high temperature annealing or deposition step, thereby leaving the flat surfaces of the troughs and mesas free of atomic steps. Structures having step-free regions large enough to accommodate micron sized devices having nanometer sized features are thereby formed.
    Type: Grant
    Filed: August 22, 1996
    Date of Patent: June 8, 1999
    Assignees: Cornell Research Foundation, Inc., International Business Machines, Corp.
    Inventors: Jack M. Blakely, So Tanaka, Christopher C. Umbach, Rudolf M. Tromp
  • Patent number: 5891800
    Abstract: An improved method for depositing a flow fill layer of an integrated circuit. Two flowlayers and two cap layers are deposited. The wafer is warmed between the deposition of the first cap layer and the deposition of the second flowlayer, to evaporate water from the first flowlayer. Preferably, each of the cap layers is deposited in two separate steps of plasma enhanced chemical vapor deposition, to inhibit crack formation in the flowlayers. Most preferably, after the depositions of each flowlayer, the flowlayer is planarized by flowing H.sub.2 O.sub.2 thereupon.
    Type: Grant
    Filed: May 28, 1997
    Date of Patent: April 6, 1999
    Assignee: Tower Semiconductor Ltd.
    Inventors: Coren Ben-Guigui, Jeff Levy, Zmira Lavie
  • Patent number: 5888910
    Abstract: A method for forming an interlayer insulating film, which involves a first oxide film deposition, a GeBPSG film deposition, a thermal treatment and a second oxide film deposition all being carried out in a continuous manner in an LPCVD device. In accordance with this method, it is possible to form an interlayer insulating film having a superior planarization characteristic in a single pass. The deposition and thermal treatment of the interlayer insulating film are carried out in a continuous manner in a single processing device. Accordingly, it is possible to effectively suppress the degradation of the GeBPSG film caused by a moisture absorption. Since a protective oxide film is deposited over the GeBPSG film in a continuous manner after the thermal treatment of the GeBPSG film, the degradation of the GeBPSG film caused by the moisture absorption can be affectively suppressed.
    Type: Grant
    Filed: June 26, 1997
    Date of Patent: March 30, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sang Kyun Park
  • Patent number: 5885900
    Abstract: Global planarization of a non-planar substrate surface is accomplished using a sacrificial material in conjunction with an etching and chemical-mechanical polishing (CMP) technique. The sacrificial material has a greater rate of removal relative to the substrate during the CMP process and at a lesser rate relative to the material during the etching process. The use of the sacrificial material enables the etching process to substantially reduce the height of topographic features that occur in the non-planar surface. The CMP process is then performed on the etched material surface to produce a planarized material surface that is substantially free of feature dependent dishing. Such a process is useful for planarizing material layers in fabricating integrated circuit devices as well as for planarizing recessed structures in such devices.
    Type: Grant
    Filed: November 7, 1995
    Date of Patent: March 23, 1999
    Assignee: Lucent Technologies Inc.
    Inventor: Gary Paul Schwartz
  • Patent number: 5883001
    Abstract: A method for forming a UV transmission passivation coating on an integrated circuit, such as EPROM, after completion of the active device and metal routing circuitry comprises depositing a first barrier dielectric layer over the integrated circuit; smoothing out underlying features by applying a layer of flowable dielectric over the first dielectric layer; and depositing a second dielectric layer over the flowable dielectric. Next a photoresist pattern is made over the second dielectric coating, having an opening layer over the at least one conductive pad. A wet etch process is used to remove portions of the second dielectric layer exposed by the opening. A dry etch process is used to remove portions of the remaining layers exposed through the opening, including the remaining portions of the second dielectric layer, the flowable dielectric layer and the first dielectric layer, down to the conductive pad. Finally, the photoresist is removed.
    Type: Grant
    Filed: July 13, 1995
    Date of Patent: March 16, 1999
    Assignee: Macronix International Co., Ltd.
    Inventors: Been Yih Jin, Daniel L. W. Yen, Wen Yen Hwang, Ming Hong Wang, Sheng Hsien Wong, Gino Hwang, Po Shen Chang, Yu Tsai Liu, Chung Chi Chang, Ta Hung Yang
  • Patent number: 5880039
    Abstract: A method for forming an interlayer insulating film of semiconductor device is disclosed. A first interlayer insulating film is deposited on the entire top surface of a semiconductor device comprising a high step cell area and lower step periphery area, followed by the thermal treatment thereof. A second interlayer insulating film which is more resistant to etch than the first interlayer insulating film is deposited. Again, a third interlayer insulating film is deposited over the second interlayer insulating film, followed by the heat treatment thereof. These interlayer insulating films are planarized by a CMP process. Upon the CMP process, the first interlayer insulating film is rapidly etched out while the second interlayer insulating film is slowly removed and this difference in etching rate allows the polishing end point to be readily detected without an additional detector.
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: March 9, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Sahng Kyoo Lee
  • Patent number: 5872064
    Abstract: A method of depositing an inter layer dielectric. A first layer using plasma enhanced chemical vapor deposition (CVD) is deposited. It is followed by a second layer, deposited using sub atmospheric CVD. The second layer is argon sputter etched.
    Type: Grant
    Filed: July 10, 1997
    Date of Patent: February 16, 1999
    Assignee: Intel Corporation
    Inventors: Brett E. Huff, Farhad Moghadam
  • Patent number: 5869388
    Abstract: A method is provided for a planar surface of a semiconductor integrated circuit, and an integrated circuit formed according to the same. A gate electrode is formed over a substrate having source/drain regions adjacent to the gate electrode and in the substrate. A silicon dioxide layer is formed over the gate electrode and a portion of the substrate not covered by the gate electrode. A first phosphorous doped spin-on-glass layer is formed over the silicon dioxide layer, wherein the spin-on-glass is doped to a concentration sufficient to facilitate gettering of charge mobile ions. An opening is then formed in the spin-on-glass layer and the silicon dioxide layer exposing a portion of the source drain region.
    Type: Grant
    Filed: March 21, 1995
    Date of Patent: February 9, 1999
    Assignee: STMicroelectronics, Inc.
    Inventors: Tsiu Chiu Chan, Frank Randolph Bryant
  • Patent number: 5866302
    Abstract: A BPSG film is formed on a semiconductor substrate and caused to reflow under an atmosphere of flowing Ar gas. Then, a chemically amplified resist is applied to the surface of the BPSG film to form a resist film, which is exposed to the irradiation of a KrF excimer laser through a mask. Since no lone pair of electrons exists on the surface of the BPSG film, an acid in the resist film is not deactivated and hence a reaction is evenly induced by an acid catalyst. After the development of the resist film, a resist pattern having an excellent profile with no footing is obtained.
    Type: Grant
    Filed: July 14, 1997
    Date of Patent: February 2, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Koji Matsuoka, Akiko Katsuyama, Takahiro Matsuo, Masayuki Endo
  • Patent number: 5854126
    Abstract: A method for forming a plurality of electrically conductive wires on a substrate. The method includes forming a relatively non-planar metal layer over a surface of the substrate. A self-planarizing material is deposited over the metal layer. The self-planarizing material forms a planarization layer over the surface of the metal layer. The planarization layer has a surface relatively planar compared to the relatively non-planar metal layer. A photoresist layer is deposited over the surface of the planarization layer. The photoresist layer is patterned with a plurality of grooves to form a mask with such grooves exposing underling portions of the planarization layer. The photoresist mask is used as a mask to etch grooves in the exposed portions of the planarization layer and thereby form a second mask. The second mask exposes underling portions of the relatively non-planar metal layer.
    Type: Grant
    Filed: March 31, 1997
    Date of Patent: December 29, 1998
    Assignee: Siemens Aktiengesellschaft
    Inventors: Dirk Tobben, Bruno Spuler, Martin Gutsche, Peter Weigand
  • Patent number: 5843838
    Abstract: A method of forming a BPSG dielectric layer on a wafer without delamination in the fabrication of an integrated circuit device wherein a BPSG deposition chamber is used is described. Semiconductor device structures are provided in and on a semiconductor substrate. The BPSG deposition chamber is cleaned according to the following steps. The deposition chamber is cleaned using a fluorine-containing gas. The fluorine-containing gas is pumped out of the deposition chamber wherein residual fluorine-containing gas remains within the deposition chamber. A plasma is flowed into the deposition chamber wherein the plasma consumes all of the residual fluorine-containing gas. The plasma is purged from the deposition chamber to complete the cleaning of the BPSG deposition chamber. Thereafter, a layer of BPSG is deposited over the semiconductor device structures wherein the BPSG layer is deposited while the wafer is within the BPSG deposition chamber.
    Type: Grant
    Filed: August 29, 1997
    Date of Patent: December 1, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: George O. Saile, Han-Chung Chen
  • Patent number: 5843520
    Abstract: A clamp for fixturing a substrate when forming and thermally processing upon the substrate a thermally flowable layer. The clamp comprises a backing member having a top member connected through a first mechanical means to the backing member. The backing member and the top member are sized such that a substrate may be clamped between the backing member and the top member. A portion of the top member overlaps the substrate and leaves exposed a first portion of the substrate when the substrate is clamped between the backing member and the top member. The clamp also comprises a shroud connected through a second mechanical means to the backing member, where a portion of the shroud overlaps the top member. The shroud leaves exposed a second portion of the substrate which is smaller than and contained within the first portion of the substrate. The shroud is removable from the backing member while the substrate remains clamped between the backing member and the top member.
    Type: Grant
    Filed: January 13, 1997
    Date of Patent: December 1, 1998
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: David Liu
  • Patent number: 5837603
    Abstract: A method of smoothing irregularities in a surface of a semiconductor device using flowable particles which are dispersed onto the surface of the semiconductor device. The irregularities in the surface of the semiconductor device are filled with flowable particles smaller in size than the irregularities which are to be smoothed, and the particles are thereafter heated so that they flow and fill the irregularities, forming a smooth layer of flowable particle material which does not require polishing. The flowable particles may be mixed with non-flowable particles which are encapsulated in the layer of flowable particle material to form a homogeneous layer. The non-flowable particles may be augmentors which modify the properties of the layer. The particles may be dispersed with a spin-on process.
    Type: Grant
    Filed: May 8, 1996
    Date of Patent: November 17, 1998
    Assignee: HArris Corporation
    Inventors: Jack H. Linn, John J. Hackenberg, David A. DeCrosta
  • Patent number: 5834320
    Abstract: Process for maintaining lead positions within a glass layer of a CQFP semiconductor device by using a magnet during high temperature assembly operations. During lead embed, a magnet (46) is magnetically attached to lead frame (44). Upon reflow of a glass layer (48), leads (50) sink into the glass layer to a height controlled by the height (H) of a protrusion (52) of the magnet. A similar magnet (62) can be used to maintain the lead positions during a high temperature operation used to cure a die attach material (60). Yet another magnet (70) can be used to maintain the positions of leads (50) during a lid seal operation. A common magnet design for use in all thermal operations can instead be used. Use of the magnets restrict movement of the leads within the glass layer when the glass is in a softened state.
    Type: Grant
    Filed: September 23, 1997
    Date of Patent: November 10, 1998
    Assignee: Motorola, Inc.
    Inventors: Wyatt A. Huddleston, Andrew Szewczyk
  • Patent number: 5834346
    Abstract: A method for preventing bubble formation over source/drain active areas in p-channel MOSFETs is described. Bubble formation occurs when the source/drain areas and silicon containing gate electrodes are implanted with BF.sub.2.sup.+ molecule ions following an anisotropic LDD spacer etch using a plasma. It is found that the plasma causes the silicon surface to become prone to adsorption of BF.sub.2.sup.+ molecule ions during the source/drain/gate implantation. These adsorbed species are released and form bubbles during reflow of a subsequently deposited glass layer. The invention performs the spacer etch only partially with the anisotropic plasma and completes the spacer formation with a wet etch. The active silicon and gate electrode surfaces are thus not damaged by the plasma. Consequently adsorption of BF.sub.2.sup.+ molecule ions is inhibited and bubble formation does not occur during reflow.
    Type: Grant
    Filed: October 14, 1997
    Date of Patent: November 10, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Lin Sun, Cheng-Yeh Shih, Chwen-Ming Liu
  • Patent number: 5807792
    Abstract: A method and apparatus for forming a multi-constituent device layer on a wafer surface are disclosed. The multi-constituent device layer is formed by flowing a first chemistry comprising a first constituent and a second chemistry comprising a second constituent via a segmented delivery system into a reaction chamber. The reaction chamber comprises a susceptor for supporting and rotating the wafers. The segmented delivery system comprises alternating first and second segments into which the first and second chemistries, respectively, are flowed. The first segments comprise an area that is greater than an area of the second segments by an amount sufficient to effectively reduce the diffusion path of the first constituent. Reducing the diffusion path of the first constituent results in a more uniform distribution of the first constituent within the device layer.
    Type: Grant
    Filed: December 18, 1996
    Date of Patent: September 15, 1998
    Assignee: Siemens Aktiengesellschaft
    Inventors: Matthias Ilg, Markus Kirchhoff, Christoph Werner
  • Patent number: 5804515
    Abstract: A method for forming contact holes of a semiconductor device, capable of preventing a photoresist film pattern used as a contact hole mask separating from a boro-phospho silicate glass (BPSG) film disposed of beneath the photoresist film pattern due to an over-etching of the BPSG film occurring when the BPSG film is wet etched. The method includes sequentially laminating a thin insulating film and a planarizing BPSG film over a semiconductor substrate, thermally treating the BPSG film at a temperature ranging from 80.degree. C. to 350.degree. C. and depositing a photoresist film over the BPSG film in a continuous manner with the same equipment used in the thermal treatment, removing a desired portion of the photoresist film, thereby forming a photoresist film pattern, wet etching an exposed portion of the BPSG film not covered with the photoresist film pattern to a desired depth, and dry etching the remaining BPSG film along with the insulating film, thereby forming contact holes.
    Type: Grant
    Filed: June 28, 1996
    Date of Patent: September 8, 1998
    Assignee: Hyundai Electronics Industries, Co., Ltd.
    Inventor: Sang Kyun Park
  • Patent number: 5783493
    Abstract: The present invention provides a method of manufacturing an interlevel dielectric layer (ILD) which has reduced precipitates after an etch back of the borophosphosilicate glass (BPSG) ILD layer. A dielectric layer containing boron and phosphorous is deposited on the substrate. A reflow process is performed on the dielectric layer at a temperature in a range of between about 800.degree. and 950.degree. C. The dielectric layer is etched back using a reactive ion etch. In an important step, a surface treatment is performed on the dielectric layer thorough a plasma treatment. A plasma source gas for the surface treatment is of a gas selected from the group consisting of Ar, NO.sub.2, N.sub.2, and O.sub.2, at a temperature in a range of between about 250.degree. and 400.degree. C. at a pressure in a range of between about 1 mtorr and 5 torr, at a RF power in a range of between about 300 and 400 watts, and for a time in a range of between about 15 and 80 seconds.
    Type: Grant
    Filed: January 27, 1997
    Date of Patent: July 21, 1998
    Assignee: Taiwan Semiconductor Manufacturing Company Ltd.
    Inventors: Rann Shyan Yeh, Chao-Hsin Chang, Hsien-Wen Chang
  • Patent number: 5780364
    Abstract: A first embodiment of the present invention introduces a method to cure mobile ion contamination in a semiconductor device during semiconductor processing by the steps of: forming active field effect transistors in a starting substrate; forming a first insulating layer over the field effect transistor and the field oxide; forming a second insulating layer over the first insulating layer; and performing an annealing step in a nitrogen containing gas ambient prior to exposing the insulating layer to mobile ion impurities. A second embodiment teaches a method to cure mobile ion contamination during semiconductor processing by annealing an insulating layer in a nitrogen containing gas ambient prior to exposing said insulating layer to mobile ion impurities.
    Type: Grant
    Filed: November 27, 1996
    Date of Patent: July 14, 1998
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 5763322
    Abstract: An annealing method includes providing a wafer having a film stack including at least a flowable film and a semirigid film formed on the flowable film. The film stack is exposed to an initial temperature followed by exposure to an intermediate temperature for an intermediate exposure time period. Then, the film stack is exposed to a final anneal temperature for a final anneal exposure time period. The film stack may include another nonflowable or flowable film formed on the semirigid film. The film stack may be exposed to one or more additional intermediate temperatures for additional intermediate exposure time periods. The film stack may be an oxide/polysilicon/oxide film stack and the oxide films may be doped oxides. A device or wafer having a film stack annealed in accordance with the annealing method is also provided.
    Type: Grant
    Filed: July 8, 1996
    Date of Patent: June 9, 1998
    Assignee: Micron Technology, Inc.
    Inventors: Kenneth Hagen, Howard E. Rhodes