At Least One Layer Formed By Reaction With Substrate Patents (Class 438/762)
  • Patent number: 8525275
    Abstract: A non-volatile memory device includes a dielectric layer between a charge storage layer and a substrate. Free bonds of the dielectric layer can be reduced to reduce/prevent charges from leaking through the free bonds and/or from being trapped by the free bonds. As a result, data retention properties and/or durability of a non-volatile memory device may be enhanced.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: September 3, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Hwa Heo, Chul-Sung Kim, Bon-Young Koo, Ki-Hyun Hwang, Chang-Hyun Lee
  • Patent number: 8518825
    Abstract: The present invention relates to manufacturing technology of damascene copper interconnection in the semiconductor manufacturing field, and especially relates to a method to manufacture by trench-first copper interconnection. The method to manufacture trench-first copper interconnection forms metal trench and VIA hole structures in the photoresist which can form a hard mask through exposure and development processes, and then forms metal interconnection lines via etching metal trench and VIA hole in one etch process. The above method replaces the existing.
    Type: Grant
    Filed: December 24, 2012
    Date of Patent: August 27, 2013
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventor: Zhibiao Mao
  • Patent number: 8501636
    Abstract: A method for fabricating silicon dioxide layer is disclosed. The method includes the following steps. Firstly, a semiconductor substrate is provided. Next, the semiconductor substrate is cleaned with a solution containing hydrogen peroxide to form a chemical oxide layer on the semiconductor substrate. Then, the chemical oxide layer is heated in no oxygen atmosphere, such that the chemical oxide layer forms a compact layer. Then, the semiconductor substrate is heated in oxygen atmosphere to form a silicon dioxide layer between the semiconductor substrate and the compact layer.
    Type: Grant
    Filed: July 24, 2012
    Date of Patent: August 6, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Shao-Wei Wang, Yu-Ren Wang, Chien-Liang Lin, Ying-Wei Yen, Kun-Yuan Lo, Chih-Wei Yang
  • Patent number: 8492289
    Abstract: A method of forming a barrier layer for metal interconnects of an integrated circuit device includes forming a first cap layer over a top surface of a conductive line of the integrated circuit device in a manner that facilitates a controllable dose of oxygen provided to the top surface of the conductive line, the conductive line comprising a metal formed over a seed layer that is an impurity alloy of the metal; and annealing the integrated circuit device so as to combine diffused impurity atoms of the seed layer with the controllable dose of oxygen, thereby forming an impurity oxide layer at an interface between the first cap layer and the top surface of the conductive line.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: July 23, 2013
    Assignee: International Business Machines Corporation
    Inventors: Daniel C. Edelstein, Takeshi Nogami, Hosadurga K. Shobha
  • Publication number: 20130161728
    Abstract: A device and method employing a polyoxide-based charge trapping component. A charge trapping component is patterned by etching a layered stack that includes a tunneling layer positioned on a substrate, a charge trapping layer positioned on the tunneling layer, and an amorphous silicon layer positioned on the charge trapping layer. An oxidation process grows a gate oxide layer from the substrate and converts the amorphous silicon layer into a polyoxide layer.
    Type: Application
    Filed: February 25, 2013
    Publication date: June 27, 2013
    Applicant: Spansion LLC
    Inventor: Spansion LLC
  • Publication number: 20130149872
    Abstract: There is provided a method of manufacturing a semiconductor device, including: forming a film containing a specific element, nitrogen, and carbon on a substrate, by alternately performing the following steps a specific number of times: a step of supplying a source gas containing the specific element and a halogen element, to the substrate; and a step of supplying a reactive gas composed of three elements of carbon, nitrogen, and hydrogen and having more number of a carbon atom than the number of a nitrogen atom in a composition formula thereof, to the substrate.
    Type: Application
    Filed: December 7, 2012
    Publication date: June 13, 2013
    Applicants: L'AIR LIQUIDE-SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE, HITACHI KOKUSAI ELECTRIC INC.
    Inventors: HITACHI KOKUSAI ELECTRIC INC., L'AIR LIQUIDE-SOCIETE ANONYME POUR L'ET
  • Patent number: 8455369
    Abstract: A trench embedding method includes forming an oxidization barrier film on a trench; forming an expandable film on the oxidization barrier film; embedding an embedding material that contracts by being fired on the trench; and firing the embedding material, wherein the forming of the oxidization barrier film includes: forming a first seed layer on the trench by supplying an aminosilane-based gas; and forming a silicon nitride film on the first seed layer, wherein the forming of the expandable film includes: forming a second seed layer on the silicon nitride film by supplying an aminosilane-based gas; and forming a silicon film on the second seed layer.
    Type: Grant
    Filed: December 22, 2011
    Date of Patent: June 4, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masahisa Watanabe, Mitsuhiro Okada
  • Patent number: 8426320
    Abstract: The method for forming wavelike coherent nanostructures by irradiating a surface of a material by a homogeneous flow of ions is disclosed. The rate of coherency is increased by applying preliminary preprocessing steps.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: April 23, 2013
    Assignee: Wostec, Inc.
    Inventors: Valery K. Smirnov, Dmitry S. Kibalov
  • Publication number: 20130072027
    Abstract: Provided is a method of manufacturing a semiconductor device having a structure in which an oxide film and a nitride film are stacked. The method includes forming a stacked film having an oxide film and a nitride film stacked therein on a substrate in a processing container by alternately performing a first cycle and a second cycle a predetermined number of times, the first cycle comprising forming the oxide film by supplying a source gas, a nitriding gas and an oxidizing gas to the substrate in the processing container a predetermined number of times, and the second cycle comprising forming the nitride film by supplying the source gas and the nitriding gas to the substrate in the processing container a predetermined number of times, wherein the forming of the oxide film and the forming of the nitride film are consecutively performed while retaining a temperature of the substrate constant.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 21, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yosuke OTA, Naonori AKAE, Yoshiro HIROSE, Ryota SASAJIMA
  • Publication number: 20130052836
    Abstract: There is provided a method for manufacturing a semiconductor device, including forming an insulating film having a prescribed composition and a prescribed film thickness on a substrate by alternately performing the following steps prescribed number of times: supplying one of the sources of a chlorosilane-based source and an aminosilane-based source to a substrate in a processing chamber, and thereafter supplying the other source, to form a first layer containing silicon, nitrogen, and carbon on the substrate; and supplying a reactive gas different from each of the sources, to the substrate in the processing chamber, to modify the first layer and form a second layer.
    Type: Application
    Filed: March 2, 2011
    Publication date: February 28, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yoshiro Hirose, Kenji Kanayama, Norikazu Mizuno, Yushin Takasawa, Yosuke Ota
  • Publication number: 20120329285
    Abstract: A gate dielectric layer forming method is applied to a fabrication process of a metal-oxide-semiconductor field-effect transistor. The gate dielectric layer forming method includes the following steps. Firstly, a substrate is provided. Then, an interlayer is formed on the substrate. Then, a high-k dielectric layer is formed on the interlayer. A nitridation process is performed to convert the high-k dielectric layer into a nitridated high-k dielectric layer. A first low temperature post-nitridation annealing process is performed to treat the nitridated high-k dielectric layer with a first gas. Afterwards, a second low temperature post-nitridation annealing process is performed to treat the nitridated high-k dielectric layer with a second gas.
    Type: Application
    Filed: June 22, 2011
    Publication date: December 27, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Shao-Wei WANG, Chien-Liang Lin, Ying-Wei Yen, Yu-Ren Wang
  • Patent number: 8334015
    Abstract: A combinatorial processing chamber and method are provided. In the method a fluid volume flows over a surface of a substrate with differing portions of the fluid volume having different constituent components to concurrently expose segregated regions of the substrate to a mixture of the constituent components that differ from constituent components to which adjacent regions are exposed. Differently processed segregated regions are generated through the multiple flowings.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: December 18, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Tony P. Chiang, Sunil Shanker, Chi-I Lang
  • Patent number: 8329531
    Abstract: In sophisticated semiconductor devices, the initial strain component of a globally strained semiconductor layer may be substantially preserved during the formation of shallow trench isolations by using a rigid mask material, which may efficiently avoid or reduce a deformation of the semiconductor islands upon patterning the isolation trenches. Consequently, selected regions with high internal stress levels may be provided, irrespective of the height-to-length aspect ratio, which may limit the application of globally strained semiconductor layers in conventional approaches. Furthermore, in some illustrative embodiments, active regions of substantially relaxed strain state or of inverse strain type may be provided in addition to the highly strained active regions, thereby enabling an efficient process strategy for forming complementary transistors.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: December 11, 2012
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Jan Hoentschel, Sven Beyer, Uwe Griebenow, Thilo Scheiper
  • Patent number: 8330275
    Abstract: A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, a conductive layer is located within a dielectric layer and a top surface of the conductive layer has either a recess, a convex surface, or is planar. An alloy layer overlies the conductive layer and is a silicide alloy having a first material from the conductive layer and a second material of germanium, arsenic, tungsten, or gallium.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: December 11, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 8318520
    Abstract: The present invention provides a “microminiaturizing method of nano-structure” with fabricating process steps as follows: First deposit the material of molecule or atom state on the top-opening of the nano cylindrical pore, which having formed on the substrate, so that the diameter of said top-opening gradually reduce to become a reduced nano-aperture, whose opening diameter is smaller than that of said top-opening; Then, directly pass the deposit material of gas molecule or atom state through said reduced nano-aperture; thereby a nano-structure of nano quantum dot, nano rod or nano ring with smaller nano scale is directly formed on the surface of said substrate, which being laid beneath the bottom of said nano cylindrical pore.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: November 27, 2012
    Inventor: Ming -Nung Lin
  • Patent number: 8318612
    Abstract: The invention provides methods which can be applied during the epitaxial growth of two or more layers of Group III-nitride semiconductor materials so that the qualities of successive layer are successively improved. In preferred embodiments, surface defects interact with a protective layer of a protective material to form amorphous complex regions capable of preventing the further propagation of defects and dislocations. The invention also includes semiconductor structures fabricated by these methods.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: November 27, 2012
    Assignees: Soitec, Arizona Board of Regents for and on behalf of Arizona State University
    Inventors: Chantal Arena, Subhash Mahajan
  • Publication number: 20120270408
    Abstract: A manufacturing method of a gate dielectric layer that includes a nitride layer and an oxide layer is provided. A substrate is provided. A nitridation treatment is performed to form the nitride layer on the substrate. An oxidation treatment is performed subsequent to the formation of the nitride layer to form the oxide layer between the nitride layer and the substrate.
    Type: Application
    Filed: April 25, 2011
    Publication date: October 25, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Kuo-Hui Su, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20120252223
    Abstract: A method of manufacturing a semiconductor device according to the present invention includes the steps of: (a) introducing hydrogen and oxygen on a SiC substrate; and (b) subjecting the hydrogen and the oxygen to a combustion reaction on the SiC substrate to form a gate oxide film being a silicon oxide film on a surface of the SiC substrate by the combustion reaction.
    Type: Application
    Filed: June 12, 2012
    Publication date: October 4, 2012
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Kazuo KOBAYASHI
  • Publication number: 20120225548
    Abstract: To form a dielectric layer, an organometallic precursor is adsorbed on a substrate loaded into a process chamber. The organometallic precursor includes a central metal and ligands bound to the central metal. An inactive oxidant is provided onto the substrate. The inactive oxidant is reactive with the organometallic precursor. An active oxidant is also provided onto the substrate. The active oxidant has a higher reactivity than that of the inactive oxidant.
    Type: Application
    Filed: February 21, 2012
    Publication date: September 6, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: SANG-YEOL KANG, SUK-JIN CHUNG, YOUN-SOO KIM, JAE-HYOUNG CHOI, JAE-SOON LIM, MIN-YOUNG PARK
  • Publication number: 20120223338
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming a silicon oxide film on a silicon carbide substrate, annealing the silicon carbide substrate and the silicon oxide film in gas containing hydrogen, and forming an aluminum oxynitride film on the silicon oxide film after the annealing of the silicon carbide substrate and the silicon oxide film.
    Type: Application
    Filed: September 2, 2010
    Publication date: September 6, 2012
    Applicant: Rohm Co. Ltd.
    Inventors: Shuhei Mitani, Yuki Nakano, Heiji Watanabe, Takayoshi Shimura, Takuji Hosoi, Takashi Kirino
  • Patent number: 8227355
    Abstract: An underlying film forming section forming an underlying film on a semiconductor substrate is provided to an apparatus of fabricating a semiconductor device. The apparatus is further provided with a cooling section cooling the semiconductor substrate and a plasma nitriding section introducing active nitrogen into the underlying film while keeping the temperature of the semiconductor substrate cooled by the cooling section at 100° C. or below. The semiconductor substrate is cooled by using liquid nitrogen or liquid helium, and by cooling a stage on which the semiconductor substrate is placed.
    Type: Grant
    Filed: April 28, 2006
    Date of Patent: July 24, 2012
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Mitsuaki Hori
  • Patent number: 8206788
    Abstract: In the manufacture of electronic devices that use porous dielectric materials, the properties of the dielectric in a pristine state can be altered by various processing steps. In a method for restoring and preserving the pristine properties of a porous dielectric layer, a substrate is provided with a layer of processed porous dielectric on top, whereby the processed porous dielectric is at least partially exposed. A thin aqueous film is formed at least on the exposed parts of the processed porous dielectric. The exposed porous dielectric with the aqueous film is exposed to an ambient containing a mixture comprising at least one silylation agent and dense CO2, resulting in the restoration and preservation of the pristine properties of the porous dielectric.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: June 26, 2012
    Assignee: IMEC
    Inventors: Fabrice Sinapi, Jan Alfons B. Van Hoeymissen
  • Patent number: 8207023
    Abstract: Methods for selectively depositing an epitaxial layer are provided herein. In some embodiments, providing a substrate having a monocrystalline first surface and a non-monocrystalline second surface; exposing the substrate to a deposition gas to deposit a layer on the first and second surfaces, the layer comprising a first portion deposited on the first surfaces and a second portion deposited on the second surfaces; and exposing the substrate to an etching gas comprising a first gas comprising hydrogen and a halogen and a second gas comprising at least one of a Group III, IV, or V element to selectively etch the first portion of the layer at a slower rate than the second portion of the layer. In some embodiments, the etching gas comprises hydrogen chloride (HCl) and germane (GeH4).
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: June 26, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Zhiyuan Ye, Saurabh Chopra, Yihwan Kim
  • Patent number: 8193027
    Abstract: Described herein is a method and liquid-based precursor composition for depositing a multicomponent film. In one embodiment, the method and compositions described herein are used to deposit Germanium Tellurium (GeTe), Antimony Tellurium (SbTe), Antimony Germanium (SbGe), Germanium Antimony Tellurium (GST), Indium Antimony Tellurium (IST), Silver Indium Antimony Tellurium (AIST), Cadmium Telluride (CdTe), Cadmium Selenide (CdSe), Zinc Telluride (ZnTe), Zinc Selenide (ZnSe), Copper indium gallium selenide (CIGS) films or other tellurium and selenium based metal compounds for phase change memory and photovoltaic devices.
    Type: Grant
    Filed: February 8, 2011
    Date of Patent: June 5, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Manchao Xiao, Liu Yang, Xinjian Lei, Iain Buchanan
  • Patent number: 8168546
    Abstract: A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that is has in its backbone, side chains, or both backbone and side chains, multiple secondary or tertiary amide groups that are represented by the following acetamide structure: >N—C(?O)—. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: May 1, 2012
    Assignee: Eastman Kodak Company
    Inventor: David H. Levy
  • Publication number: 20120100725
    Abstract: A method of forming an amorphous carbon layer on an insulating layer includes the step of forming an amorphous carbon layer using a plasma reaction process. The amorphous carbon layer is formed in an atmosphere containing a plasma excitation gas, a CxHy series gas, a silicon-containing gas, and an oxygen-containing gas.
    Type: Application
    Filed: June 25, 2010
    Publication date: April 26, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoshiyuki Kikuchi
  • Publication number: 20120083107
    Abstract: A semiconductor structure includes a semiconductor substrate; a planar transistor on a first portion of the semiconductor substrate, wherein the first portion of the semiconductor substrate has a first top surface; and a multiple-gate transistor on a second portion of the semiconductor substrate. The second portion of the semiconductor substrate is recessed from the first top surface to form a fin of the multiple-gate transistor. The fin is electrically isolated from the semiconductor substrate by an insulator.
    Type: Application
    Filed: December 8, 2011
    Publication date: April 5, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hung Chang, Chen-Hua Yu, Chen-Nan Yeh
  • Patent number: 8148242
    Abstract: A method for manufacturing a SeOI substrate that includes a thin working layer made from one or more semiconductor material(s); a support layer; and a thin buried oxide layer between the working layer and the support layer. The method includes a manufacturing step of an intermediate SeOI substrate having a buried oxide layer with a thickness greater than a thickness desired for the thin buried oxide layer; and a dissolution step of the buried oxide layer in order to form therewith the thin buried oxide layer. After the dissolution step, an oxidation step of the substrate is conducted for creating an oxidized layer on the substrate, and an oxide migration step for diffusing at least a part of the oxide layer through the working layer in order to increase the electrical interface quality of the substrate and decrease its Dit value.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: April 3, 2012
    Assignee: Soitec
    Inventors: Oleg Kononchuk, George K. Celler
  • Publication number: 20120077349
    Abstract: Embodiments related to depositing thin conformal films using plasma-activated conformal film deposition (CFD) processes are described herein. In one example, a method of processing a substrate includes, applying photoresist to the substrate, exposing the photoresist to light via a stepper, patterning the resist with a pattern and transferring the pattern to the substrate, selectively removing photoresist from the substrate, placing the substrate into a process station, and, in the process station, in a first phase, generating radicals off of the substrate and adsorbing the radicals to the substrate to form active species, in a first purge phase, purging the process station, in a second phase, supplying a reactive plasma to the surface, the reactive plasma configured to react with the active species and generate the film, and in a second purge phase, purging the process station.
    Type: Application
    Filed: January 21, 2011
    Publication date: March 29, 2012
    Inventors: Ming Li, Hu Kang, Mandyam Sriram, Adrien LaVoie
  • Publication number: 20120058645
    Abstract: The present invention provides a semiconductor device having an improved silicon oxide film as a gate insulation film of a Metal Insulator Semiconductor structure and a method of making the same.
    Type: Application
    Filed: August 26, 2011
    Publication date: March 8, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Kouichi MURAOKA
  • Publication number: 20120040534
    Abstract: Among various methods, devices, and apparatuses, a number of methods are provided for forming a gap between circuitry. One such method includes depositing a first oxide precursor material on at least two conductive lines having at least one gap between the at least two conductive lines, and forming a breadloaf configuration with the first oxide precursor material on a top of each of the at least two conductive lines that leaves a space between a closest approach of at least two adjacent breadloaf configurations. The method also includes depositing a second oxide precursor material over the first oxide precursor material, where depositing the second oxide precursor material results in closing the space between the closest approach of the at least two adjacent breadloaf configurations.
    Type: Application
    Filed: October 27, 2011
    Publication date: February 16, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Arthur J. McGinnis, Sachin Joshi, Chan Lim
  • Patent number: 8084368
    Abstract: A barrier film made of a ZrB2 film is formed by use of a coating apparatus provided with plasma generation means including a coaxial resonant cavity and a microwave supply circuit for exciting the coaxial resonant cavity, the coaxial resonant cavity including spaced apart conductors provided around the periphery of a nonmetallic pipe for reactive gas introduction, the coaxial resonant cavity having an inner height equal to an integer multiple of one-half of the exciting wavelength, the plasma generation means being constructed such that a gas injected from one end of the nonmetallic pipe is excited into a plasma state by a microwave when the gas is in a region of the nonmetallic pipe which is not covered with the conductors and such that the gas in the plasma state is discharged from the other end of the nonmetallic pipe.
    Type: Grant
    Filed: November 8, 2007
    Date of Patent: December 27, 2011
    Assignee: Ulvac, Inc.
    Inventors: Masanobu Hatanaka, Michio Ishikawa, Kanako Tsumagari
  • Patent number: 8084345
    Abstract: Some embodiments include methods of forming dispersions of nanoparticles. The nanoparticles are incorporated into first coordination complexes in which the nanoparticles are coordinated to hydrophobic ligands, and the first coordination complexes are dispersed within a non-polar solvent. While the first coordination complexes are within the non-polar solvent, the ligands are reacted with one or more reactants to convert the first coordination complexes into second coordination complexes that contain hydrophilic ligands. The second coordination complexes are then extracted from the non-polar solvent into water, to form a mixture of the second coordination complexes and the water. In some embodiments, the mixture may be dispersed across a semiconductor substrate to form a uniform distribution of the nanoparticles across the substrate. In some embodiments, the nanoparticles may then be incorporated into flash memory devices as charge-trapping centers.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: December 27, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Dan Millward
  • Patent number: 8062552
    Abstract: The invention relates to platinum-metal oxide composite particles and their use as electrocatalysts in oxygen-reducing cathodes and fuel cells. The invention particularly relates to methods for preventing the oxidation of the platinum electrocatalyst in the cathodes of fuel cells by use of these platinum-metal oxide composite particles. The invention additionally relates to methods for producing electrical energy by supplying such a fuel cell with an oxidant, such as oxygen, and a fuel source, such as hydrogen.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: November 22, 2011
    Assignee: Brookhaven Science Associates, LLC
    Inventors: Radoslav Adzic, Junliang Zhang, Miomir Vukmirovic
  • Publication number: 20110281440
    Abstract: Methods of nitridation and selective oxidation are provided herein. In some embodiments, a method of selectively forming an oxide layer on a semiconductor structure disposed on a substrate support in a process chamber is provided, wherein the semiconductor structure comprising a substrate, one or more metal-containing layers, and one or more non metal-containing layers. The method may include forming a first remote plasma from a first process gas comprising oxygen; and exposing the semiconductor structure to a reactive species formed from the first remote plasma to selectively form an oxide layer on the one or more non metal-containing layers, wherein a density of the reactive species is about 109 to about 1017 molecules/cm3 and wherein a pressure in the chamber during exposure of the first layer is about 5 mTorr to about 3 Torr.
    Type: Application
    Filed: July 26, 2011
    Publication date: November 17, 2011
    Inventor: Peter Porshnev
  • Patent number: 8053372
    Abstract: The present invention relates to an enhanced cyclic deposition process suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. The deposition enhancement is derived from ions generated in a plasma. The techniques described reduce the time required for plasma stabilization, thereby reducing deposition time and improving efficiency.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: November 8, 2011
    Assignee: Novellus Systems, Inc.
    Inventors: Frank Greer, Karl Leeser
  • Patent number: 8053356
    Abstract: A cap layer for a copper interconnect structure formed in a first dielectric layer is provided. In an embodiment, the cap layer may be formed by an in-situ deposition process in which a process gas comprising germanium, arsenic, tungsten, or gallium is introduced, thereby forming a copper-metal cap layer. In another embodiment, a copper-metal silicide cap is provided. In this embodiment, silane is introduced before, during, or after a process gas is introduced, the process gas comprising germanium, arsenic, tungsten, or gallium. Thereafter, an optional etch stop layer may be formed, and a second dielectric layer may be formed over the etch stop layer or the first dielectric layer.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: November 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hui-Lin Chang, Hung Chun Tsai, Yung-Cheng Lu, Syun-Ming Jang
  • Patent number: 8048713
    Abstract: The invention relates to a process for manufacturing a plurality of CBRAM memories, each comprising a memory cell in a chalcogenide solid electrolyte, an anode, and a cathode, the process comprising implementing a sublayer of a high thermal conductivity material, higher than 1.3 W/m/K, which covers the set of contacts, then providing, on said sublayer, a triple layer comprising a chalcogenide layer, then an anodic layer, and a layer with second contacts (36), and finally an etching step.
    Type: Grant
    Filed: October 13, 2008
    Date of Patent: November 1, 2011
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Véronique Sousa, Cyril Dressler
  • Patent number: 8039382
    Abstract: The present invention relates to a method for forming self-aligned metal silicide contacts over at least two silicon-containing semiconductor regions that are spaced apart from each other by an exposed dielectric region. Preferably, each of the self-aligned metal silicide contacts so formed comprises at least nickel silicide and platinum silicide with a substantially smooth surface, and the exposed dielectric region is essentially free of metal and metal silicide. More preferably, the method comprises the steps of nickel or nickel alloy deposition, low-temperature annealing, nickel etching, high-temperature annealing, and aqua regia etching.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: October 18, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sunfei Fang, Randolph F. Knarr, Mahadevaiyer Krishnan, Christian Lavoie, Renee T. Mo, Balasubramanian Pranatharthiharan, Jay W. Strane
  • Patent number: 8034179
    Abstract: In order to form an insulating film, which constitutes a flat interface with silicon, by CVD, a surface of silicon is oxidized to form a silicon oxide film using a plasma treatment apparatus in which microwaves are introduced into a chamber through a flat antenna having a plurality of holes. A silicon oxide film is formed as an insulating film on the silicon oxide film by CVD. Further, in the plasma treatment apparatus, a treating gas containing a noble gas and oxygen is introduced into the chamber, and, further, microwaves are introduced into the chamber through the flat antenna. Plasma is generated under a pressure in the range of not less than 6.7 Pa and not more than 533 Pa to modify the insulating film with the plasma.
    Type: Grant
    Filed: February 6, 2009
    Date of Patent: October 11, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Yoshiro Kabe, Junichi Kitagawa, Kikuo Yamabe
  • Patent number: 8008204
    Abstract: A method of manufacturing the semiconductor device is provided, which provides a prevention for a “dug” of a silicon substrate caused by the etching in regions except a region for forming a film during a removal of the film with a chemical solution. A method of manufacturing a semiconductor device according to an embodiment of the present invention includes forming a first silicon oxide film on a surface of a silicon substrate or on a surface of a gate electrode when a silicon nitride film for a dummy side wall is etched off, to provide a protection for such surfaces, and then etching a portion of the silicon nitride film with a chemical solution, and then a second oxide film for supplementing a simultaneously-etched portion of the first silicon oxide film is formed, and eventually performing an etching for completely removing the silicon nitride film for the dummy side wall.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: August 30, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Tatsuya Suzuki
  • Publication number: 20110207334
    Abstract: A method of manufacturing a semiconductor device includes an improved technique of filling a trench to provide the resulting semiconductor device with better characteristics and higher reliability. The method includes forming a trench in a semiconductor layer, forming a first layer on the semiconductor layer using a silicon source and a nitrogen source to fill the trench, curing the first layer using an oxygen source, and annealing the second layer. The method may also be used to form other types of insulating layers such as an interlayer insulating layer.
    Type: Application
    Filed: October 12, 2010
    Publication date: August 25, 2011
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong-soon CHOI, Hong-gun KIM, Ha-young YI, Gil-heyun CHOI, Eun-kee Hong
  • Patent number: 7998878
    Abstract: A chemical vapor deposition method such as an atomic-layer-deposition method for forming a patterned thin film includes applying a deposition inhibitor material to a substrate. The deposition inhibitor material is a hydrophilic polymer that is soluble in an aqueous solution comprising at least 50 weight % water and has an acid content of less than 2.5 meq/g of polymer. The deposition inhibitor material is patterned simultaneously or subsequently to its application to the substrate, to provide selected areas of the substrate effectively not having the deposition inhibitor material. A thin film is substantially deposited only in the selected areas of the substrate not having the deposition inhibitor material.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: August 16, 2011
    Assignee: Eastman Kodak Company
    Inventors: David H. Levy, Lee W. Tutt
  • Patent number: 7977252
    Abstract: The method for forming wavelike coherent nanostructures by irradiating a surface of a material by a homogeneous flow of ions is disclosed. The rate of coherency is increased by applying preliminary preprocessing steps.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: July 12, 2011
    Assignee: Wostec, Inc.
    Inventors: Valery K. Smirnov, Dmitry S. Kibalov
  • Publication number: 20110111603
    Abstract: A method and apparatus for atomic layer deposition (ALD) is described. The apparatus comprises a deposition chamber and a wafer support. The deposition chamber is divided into two or more deposition regions that are integrally connected one to another. The wafer support is movable between the two or more interconnected deposition regions within the deposition chamber.
    Type: Application
    Filed: November 23, 2010
    Publication date: May 12, 2011
    Inventors: BARRY L. CHIN, ALFRED W. MAK, LAWRENCE CHUNG-LAI LEI, MING XI, HUA CHUNG, KEN KAUNG LAI, JEONG SOO BYUN
  • Patent number: 7939442
    Abstract: Strontium ruthenium oxide provides an effective interface between a ruthenium conductor and a strontium titanium oxide dielectric. Formation of the strontium ruthenium oxide includes the use of atomic layer deposition to form strontium oxide and subsequent annealing of the strontium oxide to form the strontium ruthenium oxide. A first atomic layer deposition of strontium oxide is preformed using water as an oxygen source, followed by a subsequent atomic layer deposition of strontium oxide using ozone as an oxygen source.
    Type: Grant
    Filed: April 10, 2009
    Date of Patent: May 10, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Bhaskar Srinivasan, Vassil Antonov, John Smythe
  • Publication number: 20110104906
    Abstract: Process for producing silicon oxide containing thin films on a growth substrate by the ALCVD method. In the process, a vaporisable silicon compound is bonded to the growth substrate, and the bonded silicon compound is converted to silicon dioxide. The invention comprises using a silicon compound which contains at least one organic ligand and the bonded silicon compound is converted to silicon dioxide by contacting it with a vaporised, reactive oxygen source, in particular with ozone. The present invention provides a controlled process for growing controlling thin films containing SiO2, with sufficiently short reaction times.
    Type: Application
    Filed: November 1, 2010
    Publication date: May 5, 2011
    Applicant: ASM INTERNATIONAL N.V.
    Inventors: Eva Tois, Suvi Haukka, Marko Tuominen
  • Patent number: 7928017
    Abstract: A method of forming a nanowire and a semiconductor device comprising the nanowire are provided. The method of forming a nanowire includes forming a patterned SiyGe1-y layer (where, y is a real number that satisfies 0?y<1) on a base layer, and forming a first oxide layer and at least one nanowire within the first oxide layer by performing a first oxidation process on the patterned SiyGe1-y layer.
    Type: Grant
    Filed: March 17, 2008
    Date of Patent: April 19, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-youn Kim, Joong S. Jeong, Eun-ju Bae
  • Publication number: 20110053348
    Abstract: The HVIC includes a dielectric layer and an SOI active layer stacked on a silicon substrate, a transistor formed in the surface of the SOI active layer, and a trench isolation region formed around the transistor. The dielectric layer includes a first buried oxide film formed in the surface of the silicon substrate, a shield layer formed below the first buried oxide film opposite the element area, a second buried oxide film formed around the shield layer, and a third buried oxide film formed below the shield layer and the second buried oxide film. Therefore, the potential distribution curves PC within the dielectric layer are low in density and a high withstand voltage is achieved.
    Type: Application
    Filed: November 8, 2010
    Publication date: March 3, 2011
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventor: Hajime Akiyama
  • Publication number: 20110039418
    Abstract: In order to form an insulating film, which constitutes a flat interface with silicon, by CVD, a surface of silicon is oxidized to form a silicon oxide film using a plasma treatment apparatus in which microwaves are introduced into a chamber through a flat antenna having a plurality of holes. A silicon oxide film is formed as an insulating film on the silicon oxide film by CVD. Further, in the plasma treatment apparatus, a treating gas containing a noble gas and oxygen is introduced into the chamber, and, further, microwaves are introduced into the chamber through the flat antenna. Plasma is generated under a pressure in the range of not less than 6.7 Pa and not more than 533 Pa to modify the insulating film with the plasma.
    Type: Application
    Filed: February 6, 2009
    Publication date: February 17, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiro Kabe, Junichi Kitagawa, Kikuo Yamabe