At Least One Layer Formed By Reaction With Substrate Patents (Class 438/762)
  • Patent number: 7449385
    Abstract: CMOS gate dielectric made of high-k metal silicates by reaction of metal with silicon dioxide at the silicon surface. Optionally, a silicon dioxide monolayer may be preserved at the interface.
    Type: Grant
    Filed: July 26, 2002
    Date of Patent: November 11, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Antonio L. P. Rotondaro, Luigi Colombo, Douglas E. Mercer
  • Publication number: 20080242107
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a silicon oxide film on a silicon substrate, and forming a silicon nitride film on the silicon oxide film. The step of forming the silicon nitride film includes the steps of growing a first silicon layer having a thickness larger than a thickness of a monoatomic silicon layer, nitriding the first silicon layer to form a first silicon nitride layer, growing a second silicon layer on the first silicon layer on the first silicon nitride layer, and nitriding the second silicon oxide layer to form a second silicon nitride layer.
    Type: Application
    Filed: March 18, 2008
    Publication date: October 2, 2008
    Applicant: ELPIDA MEMORY, INC.
    Inventor: Motoyuki Kono
  • Patent number: 7416994
    Abstract: The present invention provides atomic layer deposition systems and methods that include metal compounds with at least one ?-diketiminate ligand. Such systems and methods can be useful for depositing metal-containing layers on substrates.
    Type: Grant
    Filed: June 28, 2005
    Date of Patent: August 26, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Timothy A. Quick
  • Patent number: 7405120
    Abstract: Disclosed herein is a method of manufacturing a gate insulator and a thin film transistor (“TFT”) incorporating the gate insulator, including forming an oxygen-containing, conductive gate on a substrate; forming a gate insulator material layer on the substrate so as to cover the gate; and applying a heat treatment so as to diffuse oxygen from the oxygen-containing gate layer into the gate insulating material layer thereby forming the gate insulator.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: July 29, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ho-nyeon Lee, Ick-hwan Ko
  • Patent number: 7393785
    Abstract: A method of forming a rhodium-containing layer on a substrate, such as a semiconductor wafer, using complexes of the formula LyRhYz is provided. Also provided is a chemical vapor co-deposited platinum-rhodium alloy barriers and electrodes for cell dielectrics for integrated circuits, particularly for DRAM cell capacitors. The alloy barriers protect surrounding materials from oxidation during oxidative recrystallization steps and protect cell dielectrics from loss of oxygen during high temperature processing steps. Also provided are methods for CVD co-deposition of platinum-rhodium alloy diffusion barriers.
    Type: Grant
    Filed: April 25, 2007
    Date of Patent: July 1, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Stefan Uhlenbrock, Eugene P. Marsh
  • Publication number: 20080139000
    Abstract: A method of nitriding an insulation film, includes the steps of forming nitrogen radicals by high-frequency plasma, and causing nitridation in a surface of an insulation film containing therein oxygen, by supplying the nitrogen radicals to the surface of the insulation film.
    Type: Application
    Filed: January 10, 2008
    Publication date: June 12, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masanobu IGETA, Shintaro Aoyama, Hiroshi Shinriki, Tsuyoshi Takahashi
  • Patent number: 7384880
    Abstract: A method for making a semiconductor device is described. That method comprises converting a hydrophobic surface of a substrate into a hydrophilic surface, and forming a high-k gate dielectric layer on the hydrophilic surface.
    Type: Grant
    Filed: October 12, 2004
    Date of Patent: June 10, 2008
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Jack Kavalieros, Mark L. Doczy, Matthew V. Metz, Suman Datta, Robert S. Chau
  • Publication number: 20080124940
    Abstract: A method of forming a dielectric layer is provided. A first dielectric layer is formed on a substrate having metal layers formed thereon. The first dielectric layer includes overhangs in the spaces between two neighboring metal layers and voids under the overhangs. The first dielectric layer is partially removed to cut off the overhangs and expose the voids and therefore openings are formed. A second dielectric layer is formed on the dielectric layer to fill up the opening.
    Type: Application
    Filed: September 22, 2006
    Publication date: May 29, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Hsu-Sheng Yu, Shing-Ann Lo, Ta-Hung Yang
  • Publication number: 20080119008
    Abstract: A molecular device of the present invention is arranged so that a self-organizing monomolecular layer is formed on an oxide layer made of an oxide of a substrate by being chemically bonded with the surface of the oxide layer, and nano structures are formed on the monomolecular film. With this arrangement, the present invention provides a molecular device which causes less interaction between the substrate and nanostructures arranged on the substrate, thereby realizing easier control of orientation of nano structures on the substrate. The present invention also provides a manufacturing method of the molecular device.
    Type: Application
    Filed: August 30, 2005
    Publication date: May 22, 2008
    Inventors: Yuji Miyato, Kei Kobayashi, Hirofumi Yamada, Kazumi Matsushige
  • Publication number: 20080108226
    Abstract: A method of fabricating a thin film transistor substrate includes forming a gate wiring on an insulating substrate and forming a gate insulating layer on the gate wiring; performing a first hydrogen plasma treatment with respect to the gate insulating layer; forming a first active layer with a first thickness at a first deposition rate on the gate insulating layer; performing a second hydrogen plasma treatment with respect to the first active layer; and forming a second active layer with a second thickness greater than the first thickness at a second deposition rate greater than the first deposition rate, on the first active layer.
    Type: Application
    Filed: May 9, 2007
    Publication date: May 8, 2008
    Applicant: SAMSUNG ELECTRONICS CO., LTD
    Inventors: Hwa-yeul OH, Byoung-june KIM, Sung-hoon YANG, Jae-ho CHOI, Yong-mo CHOI, Girotra KUNAL
  • Publication number: 20080099918
    Abstract: By forming a cap layer on a dielectric barrier layer of a low-k dielectric material stack, the interaction of UV radiation during the generation of pores in the low-k dielectric material may be significantly reduced. In some illustrative embodiments, the cap layer may comprise titanium oxide and/or vanadium oxide which may provide a high degree of reflectivity and absorption, respectively. The layer thickness of the cap layer may be 10 nm or significantly less, thereby reducing any adverse influence on the overall performance of the resulting layer stack.
    Type: Application
    Filed: July 11, 2007
    Publication date: May 1, 2008
    Inventors: Christof Streck, Volker Kahlert
  • Patent number: 7344913
    Abstract: A method of making organic memory cells made of two electrodes with a controllably conductive media between the two electrodes is disclosed. The controllably conductive media contains an active layer and passive layer. The active layer is formed using spin on techniques and contains an organic semiconductor doped with a metal salt.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: March 18, 2008
    Assignee: Spansion LLC
    Inventors: Richard P. Kingsborough, William Leonard, Igor Sokolik, Stuart Spitzer, Zhida Lan
  • Publication number: 20080057734
    Abstract: An apparatus for fabricating layers on a semiconductor device comprises a first chamber in which a pad oxide layer and a pad nitride layer are successively formed, and a second chamber in which a pad insulating layer is formed and heated.
    Type: Application
    Filed: August 24, 2007
    Publication date: March 6, 2008
    Inventor: Dae Young Kim
  • Patent number: 7338826
    Abstract: This invention pertains to an electronic device and to a method for making it. The device is a heterojunction transistor, particularly a high electron mobility transistor, characterized by presence of a 2 DEG channel. Transistors of this invention contain an AlGaN barrier and a GaN buffer, with the channel disposed, when present, at the interface of the barrier and the buffer. Surface treated with ammonia plasma resembles untreated surface. The method pertains to treatment of the device with ammonia plasma prior to passivation to extend reliability of the device beyond a period of time on the order of 300 hours of operation, the device typically being a 2 DEG AlGaN/GaN high electron mobility transistor with essentially no gate lag and with essentially no rf power output degradation.
    Type: Grant
    Filed: December 9, 2005
    Date of Patent: March 4, 2008
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Jeffrey A. Mittereder, Andrew P. Edwards, Steven C. Binari
  • Publication number: 20080050928
    Abstract: Some embodiments include methods of forming dielectric materials associated with semiconductor constructions. A semiconductor substrate surface having two different compositions may be exposed to a first silanol, then to organoaluminum to form a monolayer, and finally to a second silanol to form a dielectric material containing aluminum from the organoaluminum together with silicon and oxygen from the second silanol. Alternatively, or additionally, an organoaluminum monolayer may be formed across a semiconductor substrate, and then exposed to silanol within a deposition chamber, with the silanol being provided in two doses. Initially, a first dose of the silanol is injected the chamber, and then the first dose is flushed from the chamber to remove substantially all unreacted silanol from within the chamber. Subsequently, the second dose of silanol is injected into the chamber. Some embodiments include semiconductor constructions.
    Type: Application
    Filed: August 25, 2006
    Publication date: February 28, 2008
    Inventor: Christopher W. Hill
  • Publication number: 20080050930
    Abstract: A method of forming an insulating film according to one embodiment of the present invention, which is a method of forming an insulating film for use in a semiconductor device, performs thermal oxidation of a tantalum nitride film at a temperature range of 200 to 400 degrees centigrade by a wet oxidation process, whereby a tantalum oxide film is formed as the insulating film.
    Type: Application
    Filed: August 21, 2007
    Publication date: February 28, 2008
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Takayuki IWAKI
  • Patent number: 7335606
    Abstract: A NiSi layer over silicon that is thermally stable and can form even in the presence of oxides. The method of fabricating the nickel silicide layer includes providing a substrate comprising silicon, depositing a layer of at least a 3-component metal alloy comprising nickel on a surface of the substrate, and annealing the alloy and the substrate. The annealing temperature is less than 1000° C. The 3-component metal alloy can include Ni, Ti and Pt.
    Type: Grant
    Filed: March 15, 2004
    Date of Patent: February 26, 2008
    Assignee: Agency for Science, Technology and Research
    Inventors: Dongzhi Chi, Tek Po Rinus, Soo Jin Chua
  • Publication number: 20080026251
    Abstract: In an insulating film formation method, a cycle A in which O3 at a low flow rate is supplied onto a substrate and then O3 supplied is allowed to react with Hf on the substrate in a non-equilibrium state to form a hafnium oxide film is carried out M times (M?1), and a cycle B in which O3 at a high flow rate is supplied onto the substrate and then O3 supplied is allowed to react with Hf on the substrate in an equilibrium state to form a hafnium oxide film is carried out N times (N?1). These insulating film formation cycles are defined as one sequence. This sequence is repeated until a desired thickness is obtained, thereby forming a target insulating film.
    Type: Application
    Filed: July 16, 2007
    Publication date: January 31, 2008
    Inventors: Jun Suzuki, Kenji Yoneda, Seiji Matsuyama
  • Publication number: 20080003835
    Abstract: Disclosed is a method for fabricating a semiconductor device. The method can include forming a first barrier pattern to cover a first region of a semiconductor substrate while exposing second and third regions of the semiconductor substrate, forming a first oxide layer pattern on the second and third regions, forming a second barrier pattern to cover the third region while exposing the first and second regions, forming a second oxide layer pattern on the first and second regions, forming a third oxide layer pattern on the second region by removing the second and first oxide layer patterns formed on the first and third regions, forming a silicide metal layer on the first, second, and third regions, and selectively forming silicide on the first and third regions by performing an annealing process with respect to the silicide metal layer.
    Type: Application
    Filed: June 28, 2007
    Publication date: January 3, 2008
    Inventor: DONG YEAL KEUM
  • Patent number: 7312163
    Abstract: The invention includes methods in which at least two different precursors are flowed into a reaction chamber at different and substantially non-overlapping times relative to one another to form a material over at least a portion of a substrate, and in which at least one of the precursors is asymmetric with respect to a physical property. A field influencing the asymmetric physical property is oriented within the reaction chamber, and is utilized to affect alignment of the precursor having the asymmetric property as the material is formed. The asymmetric physical property can, for example, be an anisotropic charge distribution associated with the precursor, and in such aspect, the field utilized to influence the asymmetric physical property can be an electric field provided within the reaction chamber and/or a magnetic field provided within the reaction chamber. The methodology of the present invention can be utilized in atomic layer deposition processes.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: December 25, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Garo J. Derderian, Gurtej S. Sandhu
  • Patent number: 7312156
    Abstract: A semiconductor wafer is processed while being supported without mechanical contact. Instead, the wafer is supported by gas streams emanating from a large number of passages in side sections positioned very close to the upper and lower surface of the wafer. The gas heated by the side sections and the heated side sections themselves quickly heat the wafer to a desired temperature. Process gas directed to the “device side” of the wafer can be kept at a temperature that will not cause deposition on that side section, but yet the desired wafer temperature can be obtained by heating non-process gas from the other side section to the desired temperature. A plurality of passages around the periphery of the wafer on the non-processed side can be employed to provide purge gas flow that prevents process gas from reaching the non-processed side of the wafer and the adjacent area of that side section.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: December 25, 2007
    Assignee: ASM International N.V.
    Inventors: Ernst Hendrik August Granneman, Frank Huussen
  • Patent number: 7304002
    Abstract: A method for oxidation of an object to be processed is provided wherein an oxide film can provide favorable film quality and a laminate structure of nitride film and oxide film can be obtained by a thermal oxidation of a nitride film. In a method for oxidation of a surface of an object to be processed in a single processing container 8 which can contain a plurality of objects to be processed, at least a nitride film is exposed on said surface, and said oxidation is performed by mainly using active hydroxyl/oxygen species in a vacuum atmosphere, setting a processing pressure to 133 Pa or below, and setting a processing temperature to 400° C. or above. Under these conditions, high interplanar uniformity is maintained and oxide films with favorable film quality are obtained by oxidizing nitride films on the surfaces of a plurality of objects to be processed.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: December 4, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Tatsuo Nishita, Tsukasa Yonekawa, Keisuke Suzuki, Toru Sato
  • Patent number: 7291566
    Abstract: In order to mitigate erosion of exposed processing elements in a processing system by the process and any subsequent contamination of the substrate in the processing system, processing elements exposed to the process are coated with a protective barrier. The protective barrier comprises a protective layer that is resistant to erosion by the plasma, and a bonding layer that improves the adhesion of the protective layer to the processing element to mitigate possible process contamination by failure of the protective layer.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: November 6, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Gary Escher, Mark A. Allen
  • Publication number: 20070246802
    Abstract: A semiconductor device and method thereof. The example method may include forming a semiconductor device, including forming a first layer on a substrate, the first layer including aluminum nitride (AlN), forming a second layer by oxidizing a surface of the first layer and forming a third layer on the second layer, the first, second and third layers each being highly oriented with respect to one of a plurality crystallographic planes. The example semiconductor device may include a substrate including a first layer, the first layer including aluminum nitride (AlN), a second layer formed by oxidizing a surface of the first layer and a third layer formed on the second layer, the first, second and third layers each being highly oriented with respect to one of a plurality crystallographic planes.
    Type: Application
    Filed: February 6, 2007
    Publication date: October 25, 2007
    Inventors: Wenxu Xianyu, Young-soo Park, Jun-ho Lee, Hyuk Lim, Hans S. Cho, Huaxiang Yin
  • Patent number: 7279432
    Abstract: An apparatus and method for forming an integrated barrier layer on a substrate is described. The integrated barrier layer comprises at least a first refractory metal layer and a second refractory metal layer. The integrated barrier layer is formed using a dual-mode deposition process comprising a chemical vapor deposition (CVD) step and a cyclical deposition step. The dual-mode deposition process may be performed in a single process chamber.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: October 9, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Ming Xi, Michael Yang, Hui Zhang
  • Patent number: 7271077
    Abstract: An atomic layer deposition method includes positioning a semiconductor substrate within an atomic layer deposition chamber. A first precursor gas is flowed to the substrate within the atomic layer deposition chamber effective to form a first monolayer on the substrate. The first precursor gas flowing comprises a plurality of first precursor gas pulses. The plurality of first precursor gas pulses comprises at least one total period of time between two immediately adjacent first precursor gas pulses when no gas is fed to the chamber. After forming the first monolayer on the substrate, a second precursor gas different in composition from the first is flowed to the substrate within the deposition chamber effective to form a second monolayer on the first monolayer. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: September 18, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Eugene Marsh, Brian Vaartstra, Paul J. Castrovillo, Cem Basceri, Garo J. Derderian, Gurtej S. Sandhu
  • Patent number: 7238612
    Abstract: A metal salicide layer is formed by sequentially depositing a physical vapor deposition (PVD) metal layer and a chemical vapor deposition (CVD) metal layer on a semiconductor device having an exposed silicon surface so as to form a double metal layer. The semiconductor device is annealed to react the double metal layer with the silicon surface. At least a portion of the double layer that has not reacted with the silicon surface is stripped. The semiconductor device is annealed after stripping at least the portion of the double metal layer.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: July 3, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-ho Yun, Gil-heyun Choi, Seong-hwee Cheong, Sug-woo Jung, Hyun-su Kim, Woong-hee Sohn
  • Patent number: 7205217
    Abstract: A method for forming a trench gate dielectric layer is described. First, a substrate having a trench therein is provided. An in-situ steam generated oxidation process is performed to form a sacrificial layer on the surface of the trench. Then, the sacrificial layer is removed. Next, a low-pressure chemical vapor deposition is performed to form a gate dielectric layer on the surface of the trench.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: April 17, 2007
    Assignee: Powerchip Semiconductor Corp.
    Inventors: Min-San Huang, Hann-Jye Hsu, Liang-Chuan Lai
  • Patent number: 7176094
    Abstract: DPN (decoupled plasma nitridation) is used to improve robustness of ultra thin gate oxides. Conventionally, this is followed by an anneal in pure helium to remove structural defects in the oxide. However, annealing under these conditions has been found to cause a deterioration of the electrical performance of devices. This problem has been overcome by annealing, in a 1:4 oxygen-nitrogen mixture (1,050° C. at about 10 torr) instead of in helium or nitrogen oxide. This results in a gate oxide that is resistant to boron contamination without suffering any loss in its electrical properties.
    Type: Grant
    Filed: March 6, 2002
    Date of Patent: February 13, 2007
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Dong Zhong, Yun Ling Tan, Chew Hoe Ang, Jia Zhen Zheng
  • Patent number: 7172967
    Abstract: The present invention provides methods for forming cobalt silicide layers, including introducing a vaporized cobalt precursor onto a silicon substrate to form a cobalt layer. The vaporized cobalt precursor has the formula Co2(CO)6(R1—C?C—R2), wherein R1 is H or CH3, and R2 is H, t-butyl, methyl or ethyl. The silicon substrate is thermally treated so that silicon is reacted with cobalt to form a cobalt silicide layer. Methods for manufacturing semiconductor devices including the cobalt silicide layers described herein and such devices are also provided.
    Type: Grant
    Filed: August 23, 2004
    Date of Patent: February 6, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-Su Kim, Gil-Heyun Choi, Sang-Bom Kang, Woong-Hee Sohn, Jong-Ho Yun, Kwang-Jin Moon
  • Patent number: 7172935
    Abstract: A method for forming multiple gate insulators on a strained semiconductor heterostructure, including the steps of oxidation and deposition.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: February 6, 2007
    Assignee: AmberWave Systems Corporation
    Inventors: Anthony Lochtefeld, Mayank Bulsara
  • Patent number: 7160802
    Abstract: A process is described that forms a low resistivity connection between a tungsten layer and a silicon surface with high adherence of the tungsten to the silicon. The silicon surface is plasma-cleaned to remove native oxide. A very thin layer (one or more monolayers) of Si—NH2 is formed on the silicon surface, serving as an adhesion layer. A WNx layer is formed over the Si—NH2 layer, using an atomic layer deposition (ALD) process, to serve as a barrier layer. A thick tungsten layer is formed over the WNx layer by CVD. An additional metal layer (e.g., aluminum) may be formed over the tungsten layer.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: January 9, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Huong T. Nguyen, Dennis Hausmann
  • Patent number: 7157331
    Abstract: Methods and apparatuses are disclosed relating to blocking ultraviolet electromagnetic radiation from a semiconductor. Ultraviolet electromagnetic radiation, such as ultraviolet electromagnetic radiation generated by a plasma process, which may otherwise damage a semiconductor can be blocked from one or more layers below an ultraviolet blocking layer.
    Type: Grant
    Filed: June 1, 2004
    Date of Patent: January 2, 2007
    Assignee: Macronix International Co., Ltd.
    Inventors: Chien Hung Lu, Chin Ta Su
  • Patent number: 7157383
    Abstract: After cleaning a surface of a silicon substrate (1), impurities and natural oxide film existing on the silicon substrate (1) are removed by soaking the silicon substrate (1) in a 0.5%-by-volume HF aqueous solution for 5 minutes. The silicon substrate (1) is rinsed (cleaned) with ultrapure water for five minutes. Then, the silicon substrate (1) is soaked for 30 minutes in azeotropic nitric acid heated to an azeotropic temperature of 120.7° C. In this way, an extremely thin chemical oxide film (5) is formed on the surface of the silicon substrate (1). Subsequently, a metal film (6) (aluminum-silicon alloy film) is deposited, followed by heating in a hydrogen-containing gas at 200° C. for 20 minutes. Through the heat processing in the hydrogen-containing gas, hydrogen reacts with interface states and defect states in the chemical oxide film (5), causing disappearance of the interface states and defect states. As a result, the quality of the film can be improved.
    Type: Grant
    Filed: May 21, 2003
    Date of Patent: January 2, 2007
    Assignee: Japan Science and Technology Agency
    Inventor: Hikaru Kobayashi
  • Patent number: 7125811
    Abstract: An oxidation method for a semiconductor process, which oxidizes a surface of a target substrate, includes heating a process container that accommodates the target substrate, and supplying hydrogen gas and oxygen gas into the process container while exhausting the process container. The oxidation method also includes causing the hydrogen gas and the oxygen gas to react with each other in the process container at a process temperature and a process pressure to generate water vapor, and oxidizing the surface of the target substrate by the water vapor. The process pressure is set at 2000 Pa (15 Torr) or more.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: October 24, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Keisuke Suzuki, Toshiyuki Ikeuchi, Kazuhide Hasebe
  • Patent number: 7118968
    Abstract: Roughly described, a floating gate memory cell is fabricated by forming an oxide-nitride dielectric layer above a floating gate of the memory cell and in an oxide growth region not above a floating gate. The nitride layer is removed in the oxide growth region using a mask that protects the nitride layer above the floating gate, and then the bottom oxide layer is removed in the oxide growth region using a wet etch that does not affect the nitride remaining above the floating gate. First and second oxide layers are then formed both above the floating gate and in the oxide growth region, to act as the top layer of ONO above the floating gate and as the gate oxide in the oxide growth region. One of the first and second oxide layers is formed using in-situ steam generation.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: October 10, 2006
    Assignee: Macronix International Co., Ltd.
    Inventor: Jung-Yu Hsieh
  • Patent number: 7091138
    Abstract: A forming method and a forming apparatus of nanocrystalline silicon structure makes it possible to prepare a nanocrystalline silicon structure at a low temperature to have densely packed silicon crystal grains which are stably terminated and to effectively control the grain size in nanometer scale. A forming method and a forming apparatus of nanocrystalline silicon structure with oxide or nitride termination, carry out a first step of treating a surface of a substrate with hydrogen radical; a second step of depositing silicon crystals having a grain size of 10 nm or less by the thermal reaction of a silicon-containing gas; and a third step of terminating the surface of the silicon crystal with oxygen or nitrogen by using one of oxygen gas, oxygen radical and nitrogen radical.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: August 15, 2006
    Assignees: Anelva Corporation
    Inventors: Yoichiro Numasawa, Nobuyoshi Koshida
  • Patent number: 7056381
    Abstract: Concentration of metal element which promotes crystallization of silicon and which exists within a crystal silicon film obtained by utilizing the metal element is reduced. A first heat treatment for crystallization is implemented after introducing nickel to an amorphous silicon film 103. Then, laser light is irradiated to diffuse the nickel element concentrated locally. After that, another heat treatment is implemented within an oxidizing atmosphere at a temperature higher than that of the previous heat treatment. A thermal oxide film 106 is formed in this step. At this time, the nickel element is gettered to the thermal oxide film 106. Then, the thermal oxide film 106 is removed. Thereby, a crystal silicon film 107 having low concentration of the metal element and a high crystallinity can be obtained.
    Type: Grant
    Filed: January 16, 1997
    Date of Patent: June 6, 2006
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Satoshi Teramoto, Jun Koyama, Yasushi Ogata, Masahiko Hayakawa, Mitsuaki Osame
  • Patent number: 7052997
    Abstract: In a DRAM fabrication process, a first oxide is provided over a transistor gate and over a substrate extending from under the gate. The deposition is non-conformal in that the oxide is thicker over the gate and over the substrate than it is on the side of the gate. A second non-conformal oxide is provided over the first non-conformal oxide. The second oxide is annealed in a boron-containing atmosphere, and the first oxide prevents boron diffusion from the second oxide into the gate and substrate. The second oxide may then serve as an etch stop, a CMP stop, or both.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7045470
    Abstract: Apparatus comprising: a first substrate; a dielectric layer comprising a first dielectric material on the first substrate, the dielectric layer having a dielectric layer thickness and being traversed by through holes passing from an interface with the first substrate, to an opposite side of the dielectric layer; and a second dielectric material at least partially blocking the through holes. Methods for making such apparatus.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: May 16, 2006
    Assignee: Lucent Technologies Inc.
    Inventors: Zhenan Bao, Howard Edan Katz
  • Patent number: 7033956
    Abstract: Methods for making memory devices are disclosed for forming germanium nanocrystals in an oxynitride layer. The method includes: forming a first dielectric layer over a substrate; forming an oxynitride layer containing germanium nanocrystals over the first dielectric layer; forming a second dielectric layer over the oxynitride layer; forming a gate over the second dielectric layer; and providing source, drain, and channel regions in the substrate. In one example, the channel region is positioned to correspond to at least a portion of the gate.
    Type: Grant
    Filed: November 1, 2004
    Date of Patent: April 25, 2006
    Assignee: ProMOS Technologies, Inc.
    Inventor: Yung-Hsien Wu
  • Patent number: 7008845
    Abstract: A dielectric insulating composite for insulating a floating gate from a control gate in a non-volatile memory is described. A material, such as an undoped polysilicon, amorphous silicon, or amorphous polysilicon or a silicon rich nitride, is inserted in the gate structure. The oxide film that results from the oxidation of these films is relatively free from impurities. As a result, charge leakage between the floating gate and control gate is reduced.
    Type: Grant
    Filed: May 10, 2004
    Date of Patent: March 7, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Garry A. Mercaldi
  • Patent number: 6992020
    Abstract: A semiconductor device of this invention includes a silicon nitride film formed on a semiconductor substrate and having a density of 2.2 g/cm3 or less, and a silicon oxide film formed on the silicon nitride film in an ambient atmosphere containing TEOS and O3.
    Type: Grant
    Filed: July 30, 2004
    Date of Patent: January 31, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Susumu Hiyama, Akihito Yamamoto, Hiroshi Akahori, Shigehiko Saida
  • Patent number: 6992018
    Abstract: Methods are described for depositing a film or discontinuous layer of discrete clusters, of material (e.g., metals, metal mixtures or alloys, metal oxides, or semiconductors) on the surface of a substrate, e.g., a patterned silicon wafer, by i) dissolving a precursor of the material into a supercritical or near-supercritical solvent to form a supercritical or near-supercritical solution; ii) exposing the substrate to the solution, under conditions at which the precursor is stable in the solution; and iii) mixing a reaction reagent into the solution under conditions that initiate a chemical reaction involving the precursor, thereby depositing the material onto the solid substrate, while maintaining supercritical or near-supercritical conditions. The invention also includes similar methods for depositing material particles into porous solids, and films of materials on substrates or porous solids having material particles deposited in them.
    Type: Grant
    Filed: December 24, 2003
    Date of Patent: January 31, 2006
    Assignee: University of Massachusetts
    Inventors: James J. Watkins, Jason M. Blackburn, David P. Long, Jason L. Lazorcik
  • Patent number: 6987073
    Abstract: A deposition method includes forming a nucleation layer over a substrate, forming a layer of a first substance at least one monolayer thick chemisorbed on the nucleation layer, and forming a layer of a second substance at least one monolayer thick chemisorbed on the first substance. The chemisorption product of the first and second substance may include silicon and nitrogen. The nucleation layer may comprise silicon nitride. Further, a deposition method may include forming a first part of a nucleation layer on a first surface of a substrate and forming a second part of a nucleation layer on a second surface of the substrate. A deposition layer may be formed on the first and second parts of the nucleation layer substantially non-selectively on the first part of the nucleation layer compared to the second part. The first surface may be a surface of a borophosphosilicate glass layer. The second surface may be a surface of a rugged polysilicon layer.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: January 17, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Garry A. Mercaldi
  • Patent number: 6984873
    Abstract: Numerous embodiments of a stacked device filler and a method of formation are disclosed. In one embodiment, a method of forming a stacked device filler comprises forming a material layer between two or more substrates of a stacked device, and causing a reaction in at least a portion of the material, wherein the reaction may comprise polymerization, and the material layer may be one or a combination of materials, such as nonconductive polymer materials, for example.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: January 10, 2006
    Assignee: Intel Corporation
    Inventors: Grant M. Kloster, David Staintes, Shriram Ramanathan
  • Patent number: 6936503
    Abstract: In a pretreatment process, a silicon oxide film (13) with nitrogen content is formed on a semiconductor substrate (10). In a segregation process executing heat treatment in an in-oxidiz-able gas atmosphere, a silicon nitride layer (14) segregates out at the interface of the silicon substrate (10) and the silicon oxide film (13). After this, the unnecessary silicon oxide film (13) on the silicon nitride layer (14) is removed, and a silicon oxide layer (15) is formed beneath the exposed silicon nitride layer (14) with oxygen passing through the exposed silicon nitride layer (14). Whereby, a gate electrode (16) is formed on the gate insulating film consisting of the silicon nitride layer (14) and the silicon oxide layer (15).
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: August 30, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Shinobu Takehiro
  • Patent number: 6933248
    Abstract: The instant invention describes a method for forming a dielectric film with a uniform concentration of nitrogen. The films are formed by first incorporating nitrogen into a dielectric film using RPNO. The films are then annealed in N2O which redistributes the incorporated species to produce a uniform nitrogen concentration.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: August 23, 2005
    Assignee: Texas Instruments Incorporated
    Inventor: Douglas T. Grider
  • Patent number: 6929964
    Abstract: A method for monitoring a nitridation process, including: (a) providing a semiconductor substrate; (b) forming a first dielectric layer on a top surface of the substrate; (c) introducing a quantity of interfacial species into the substrate; (d) removing the first dielectric layer; (e) forming a second dielectric layer on the top surface of the substrate; (f) measuring the density of interface traps between the substrate and the second dielectric layer; (g) providing a predetermined relationship between the quantity of the interfacial species and the density of the interface traps; and (h) determining the quantity of the interfacial species introduced based on the relationship.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: August 16, 2005
    Assignee: International Business Machines Corporation
    Inventors: Lance Genicola, Mark J. Hurley, Jeremy J. Kempisty, Paul D. Kirsch, Ravikumar Ramachandran, Suri Hedge
  • Patent number: 6927144
    Abstract: Provided is a manufacturing method of a buried insulating layer type semiconductor silicon carbide substrate excellent in flatness of an interfaces in contact the insulating layer and a manufacturing device thereof. In the manufacturing device, an SOI substrate having a buried insulating layer positioned on a silicon substrate and a surface silicon layer formed on this buried insulating layer is placed in this film formation chamber. The manufacturing device includes: the film formation chamber in which the SOI substrate is placed; a gas supplying unit for supplying various types of gasses required for the manufacturing of a buried insulating layer type semiconductor silicon carbide substrate into the film formation chamber; an infrared ray irradiating unit for irradiating the surface silicon layer of the SOI substrate with infrared rays; and a control part for controlling the gas supplying unit and the infrared ray irradiating unit.
    Type: Grant
    Filed: March 12, 2004
    Date of Patent: August 9, 2005
    Assignees: Osaka Prefecture, Hosiden Corporation
    Inventors: Katsutoshi Izumi, Motoi Nakao, Yoshiaki Ohbayashi, Keiji Mine, Seisaku Hirai, Fumihiko Jobe, Tomoyuki Tanaka