At Least One Layer Formed By Reaction With Substrate Patents (Class 438/762)
  • Patent number: 6913979
    Abstract: Disclosed is a method of manufacturing a MOS transistor having an enhanced reliability. A passivation layer is formed on a gate electrode and on a substrate to prevent a generation of a recess on the substrate. After a mask pattern is formed on the substrate for masking a portion of the substrate, impurities are implanted into an exposed portion of the substrate to form source and drain regions. The substrate is rinsed so that the passivation layer or a recess-prevention layer is substantially entirely or partially removed while the mask pattern is substantially completely removed, thereby forming the MOS transistor. Therefore, the generation of the recess in the source and drain region of the substrate can be prevented due to the passivation layer during rinsing of the substrate.
    Type: Grant
    Filed: April 30, 2003
    Date of Patent: July 5, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Hyeon-Deok Lee, Tae-Soo Park, Heon-Heoung Leam, Bong-Hyun Kim, Yong-Woo Hyung
  • Patent number: 6911400
    Abstract: A method for forming a self aligned pattern on an existing pattern on a substrate comprising applying a coating of a solution containing a masking material in a carrier, the masking material having an affinity for portions of the existing pattern; and allowing at least a portion of the masking material to preferentially assemble to the portions of the existing pattern. The pattern may be comprised of a first set of regions of the substrate having a first atomic composition and a second set of regions of the substrate having a second atomic composition different from the first composition. The first set of regions may include one or more metal elements and the second set of regions may include a dielectric. The first and second regions may be treated to have different surface properties. Structures made in accordance with the method. Compositions useful for practicing the method.
    Type: Grant
    Filed: November 5, 2002
    Date of Patent: June 28, 2005
    Assignee: International Business Machines Corporation
    Inventors: Matthew E Colburn, Stephen M Gates, Jeffrey C Hedrick, Elbert Huang, Satyanarayana V Nitta, Sampath Purushothaman, Muthumanickam Sankarapandian
  • Patent number: 6900071
    Abstract: A substrate is provided with a substrate main body made from silicon, and an oxide film for a base formed thereon. The oxide film includes a first oxide film made mainly of a thermal SiO2 film formed by thermally oxidizing silicon in the substrate main body, and a second oxide film made of a high-temperature oxide film deposited and formed thereon. Alternatively the second oxide film may be formed by TEOS.
    Type: Grant
    Filed: July 26, 2001
    Date of Patent: May 31, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Mika Okumura, Makio Horikawa, Kiyoshi Ishibashi
  • Patent number: 6900144
    Abstract: A film-forming surface reforming method includes the steps of bringing a gas or an aqueous solution containing ammonia, hydrazine, an amine, an amino compound or a derivative thereof into contact with the film-forming surface before an insulating film is formed on the film-forming surface, and bringing a gas or an aqueous solution containing Hydrogen peroxide, ozone, Oxygen, nitric acid, sulfuric acid or a derivative thereof into contact with the film-forming surface.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: May 31, 2005
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Kazuo Maeda, Setsu Suzuki, Takayoshi Azumi, Kiyotaka Sasaki
  • Patent number: 6887743
    Abstract: Methods of forming a gate dielectric layer, and a composite gate dielectric layer, for a thin film transistor, has been developed. A first embodiment of this invention describes the procedure used to create the composite gate dielectric layer. A first, thin silicon oxide gate dielectric layer is thermally grown on an underlying active semiconductor layer, such as polysilicon. A first anneal procedure, is performed at a temperature greater than the temperature used for the thermal growth of this layer, resulting in improved parametric integrity. A thicker, second silicon oxide gate dielectric layer is then thermally deposited, followed by an anneal procedure used to provide a composite gate dielectric layer comprised of a densified, thermally deposited second silicon oxide gate dielectric layer, on an underlying, thermally grown first silicon oxide gate dielectric layer.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: May 3, 2005
    Assignee: International Rectifier Corporation
    Inventors: Richard Bullock, David Paul Jones
  • Patent number: 6881681
    Abstract: Heating a reaction chamber or other apparatus in the absence of product wafers to a “curing” temperature above a deposition temperature between the deposition of a film on a first set of semiconductor product wafers and the deposition of a film on a second set of semiconductor product wafers. In some embodiments, a boat with filler wafers is in the reaction chamber when the reaction chamber is heated to the curing temperature. In some examples, the films are deposited by a low pressure chemical vapor deposition (LPCVD) process. With some processes, if the deposition of a film on product wafers is at a temperature below a certain temperature, the film deposited with the product wafer on a boat, filler wafers, and/or other structures in the reaction chamber can cause contamination of product wafers subsequently deposited with a film in the presence of the boat and filler wafers.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: April 19, 2005
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Olubunmi O. Adetutu, Marc Rossow, Anna M. Phillips
  • Patent number: 6844260
    Abstract: Systems and methods for insitu post atomic layer deposition (ALD) destruction of active species are provided. ALD processes deposit multiple atomic layers on a substrate. Pre-cursor gases typically enter a reactor and react with the substrate resulting in a monolayer of atoms. After the remaining gas is purged from the reactor, a second pre-cursor gas enters the reactor and the process is repeated. The active species of some pre-cursor gases do not readily purge from the reactor, thus increasing purge time and decreasing throughput. A high-temperature surface placed in the reactor downstream from the substrate substantially destroys the active species insitu. Substantially destroying the active species allows the reactor to be readily purged, increasing throughput.
    Type: Grant
    Filed: January 30, 2003
    Date of Patent: January 18, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Demetrius Sarigiannis, Shuang Meng, Garo J. Derderian
  • Patent number: 6835669
    Abstract: The present invention relates to a film forming method of forming an interlayer insulating film having a low dielectric constant for covering wiring. The insulating film covering wiring is formed on a substrate by converting into a plasma and reacting a film forming gas including a component selected from the group consisting of alkoxy compounds having Si—H bonds and siloxanes having Si—H bonds and an oxygen-containing gas selected from a group consisting of O2, N2O, NO2, CO, CO2, and H2O.
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: December 28, 2004
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Taizo Oku, Junichi Aoki, Youichi Yamamoto, Takashi Koromokawa, Kazuo Maeda
  • Patent number: 6833329
    Abstract: The invention encompasses a method of forming an oxide region over a semiconductor substrate. A nitrogen-containing layer is formed across at least some of the substrate. After the nitrogen-containing layer is formed, an oxide region is grown from at least some of the substrate. The nitrogen of the nitrogen-containing layer is dispersed within the oxide region. The invention also encompasses a method of forming a pair of transistors associated with a semiconductor substrate. A substrate is provided. A first region of the substrate is defined, and additionally a second region of the substrate is defined. A first oxide region is formed which covers at least some of the first region of the substrate, and which does not cover any of the second region of the substrate. A nitrogen-comprising layer is formed across at least some of the first oxide region and across at least some of the second region of the substrate.
    Type: Grant
    Filed: June 22, 2000
    Date of Patent: December 21, 2004
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Patent number: 6831020
    Abstract: After a first gate insulating film is formed on each of first to third active regions, the first gate insulating film on the second active region is removed therefrom and a second gate insulating film thinner than the first gate insulating film is formed on the second active region. Then, the first gate insulating film on the third active region is removed therefrom and a third gate insulating film thinner than the second gate insulating film is formed on the third active region. Otherwise, a pad oxide film on the first active region is removed therefrom and the first gate insulating film is formed on the first active region. Then, the pad oxide film on the second active region is removed therefrom and a second gate insulating film thinner than the first gate insulating film is formed on the second active region. Thereafter, the pad oxide film on the third active region is removed therefrom and a third gate insulating film thinner than the second gate insulating film is formed on the third active region.
    Type: Grant
    Filed: November 1, 2002
    Date of Patent: December 14, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Takayuki Yamada, Hiroaki Nakaoka
  • Patent number: 6812157
    Abstract: An atomic layer deposition (ALD) reactor (13) is disclosed that includes a substantially cylindrical chamber (15) and a wafer substrate (22) mounted within the chamber (15). The ALD reactor (13) further includes at least one injection tube (14) mounted within the chamber (15) having a plurality of apertures (32) along one side that directs gas emanating from the apertures (32) towards the wafer substrate (22). While gas is pulsed from the injection tube (14), either the water substrate (22) or the injection tube (14) is continuously rotated in a longitudinal plane within the chamber (15) to ensure complete and uniform coverage of the wafer substrate (22) by the gas.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: November 2, 2004
    Inventor: Prasad Narhar Gadgil
  • Patent number: 6812165
    Abstract: Described is a manufacturing method of a semiconductor integrated circuit device by depositing a silicon nitride film to give a uniform thickness over the main surface of a semiconductor wafer having a high pattern density region and a low pattern density region. This is attained by, upon depositing a silicon nitride film over a substrate having a high gate-electrode-pattern density region and a low gate-electrode-pattern density region by using a single-wafer cold-wall thermal CVD reactor, setting a flow rate ratio of ammonia (NH3) to monosilane (SiH4) greater than that upon deposition of a silicon nitride film over a flat substrate.
    Type: Grant
    Filed: August 19, 2003
    Date of Patent: November 2, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Hidenori Sato, Yoshiyuki Hayashi, Toshio Ando
  • Patent number: 6806162
    Abstract: A method for composing a dielectric layer within an interconnect structure of a multilayer semiconductor device is disclosed. A layer of silica precursor material is first deposited on a silicon substrate. Without affecting its structure and porosity, the layer of silica precursor material is then dried; and the layer of silica precursor material becomes porous silica film. Subsequently, a protective layer, such as parylene, is deposited on top of the dried porous silica film. The thickness of the protective layer should be greater than the peak-valley planarization requirements of the silicon substrate surface. As a result, a composite porous silica film, which services as a dielectric layer within an interconnect structure, is formed. This composite porous silica film has a relatively low dielectric constant and is able to withstand damage from a standard CMP procedure.
    Type: Grant
    Filed: June 11, 2003
    Date of Patent: October 19, 2004
    Assignee: LSI Logic Corporation
    Inventors: Gayle W. Miller, Gail D. Shelton
  • Patent number: 6803280
    Abstract: A dielectric insulating composite for insulating a floating gate from a control gate in a non-volatile memory is described. A material, such as an undoped polysilicon, amorphous silicon, or amorphous polysilicon or a silicon rich nitride, is inserted in the gate structure. The oxide film that results from the oxidation of these films is relatively free from impurities. As a result, charge leakage between the floating gate and control gate is reduced.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: October 12, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Garry A. Mercaldi
  • Patent number: 6803325
    Abstract: A method of formation of a damascene FSG film with good adhesion to silicon nitride in an HDP-CVD system. Silane (SiH4), silicon tetrafluoride (SiF4), oxygen (O2) and argon (Ar) are used as the reactant gases. SiH4, SiF4, and O2 react to form the FSG. Ar is introduced to promote gas dissociation. All four gases are used for depositing most of the FSG film. SiH4 is not used during deposition of the interfacial part of the FSG film. The interfacial part of the FSG film refers either to the topmost portion, if silicon nitride is to be deposited on top of the FSG or the bottom portion if the FSG is to be deposited on top of silicon nitride. Using SiH4 with the SiF4 tends to mitigate the destructive effects of SiF4 throughout most of the deposition. By removing the SiH4 from the deposition of the interfacial part of the FSG film less hydrogen is incorporated into the film in the interfacial region and adhesion to overlying or underlying silicon nitride is improved.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: October 12, 2004
    Assignee: Applied Materials Inc.
    Inventors: Hichem M'Saad, Dana Tribula, Manoj Vellaikal, Farhad Moghadam, Sameer Desai
  • Publication number: 20040198000
    Abstract: A method of forming multiple gate oxide thicknesses on active areas that are separated by STI isolation regions on a substrate. A first layer of oxide is grown to a thickness of about 50 Angstroms and selected regions are then removed. A second layer of oxide is grown that is thinner than first growth oxide. For three different gate oxide thicknesses, selected second oxide growth regions are nitridated with a N2 plasma which increases the dielectric constant of a gate oxide and reduces the effective oxide thickness. To achieve four different gate oxide thicknesses, nitridation is performed on selected first growth oxides and on selected second growth oxide regions. Nitridation of gate oxides also prevents impurity dopants from migrating across the gate oxide layer and reduces leakage of standby current. The method also reduces comer loss of STI regions caused by HF etchant.
    Type: Application
    Filed: April 26, 2004
    Publication date: October 7, 2004
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chia-Lin Chen, Chien-Hao Chen, Mo-Chiun Yu
  • Patent number: 6787397
    Abstract: An integrated circuit device with a low stress, thin film, protective overcoat having enhanced adhesion both to polymeric materials used in packaging-semiconductor devices, and within the passivating film layers, including the following sequence of materials deposited by PECVD processing: a thin film of silicon dioxide, a layer of silicon nitride, oxy-nitride or silicon carbide, and a very thin topmost layer of silicon oxide.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: September 7, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Leland S. Swanson, Elizabeth G. Jacobs
  • Patent number: 6784100
    Abstract: This invention provides a capacitor and a method for manufacturing of the same, which are adaptable to preventing a lower electrode from being oxidized at a following thermal process. The capacitor includes: a lower electrode; an oxidation barrier layer formed on the lower electrode, wherein the oxidation barrier layer is formed of at least double nitridation layers; a dielectric layer formed on the oxidation barrier layer; and an upper electrode formed on the dielectric layer.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: August 31, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hoon-Jung Oh, Kyong-Min Kim, Jong-Bum Park
  • Patent number: 6780719
    Abstract: An embodiment of the present invention is a method of forming an ultra-thin dielectric layer, the method comprising the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density. This annealing step is selected from a group of four re-oxidizing techniques: Consecutive annealing in a mixture of H2 and N2 (preferably less than 20% H2), and then a mixture of O2 and N2 (preferably less than 20% O2); annealing by a spike-like temperature rise (preferably less than 1 s at 1000 to 1150° C.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: August 24, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, Rajesh Khamankar, James J. Chambers, Sunil Hattangady, Antonio L. P. Rotondaro
  • Patent number: 6773980
    Abstract: The invention is a method of depositing an aluminum nitride comprising layer over a semiconductor substrate, a method of forming DRAM circuitry, DRAM circuitry, a method of forming a field emission device, and a field emission device. In one aspect, a method of depositing an aluminum nitride comprising layer over a semiconductor substrate includes positioning a semiconductor substrate within a chemical vapor deposition reactor. Ammonia and at least one of triethylaluminum and trimethylaluminum are fed to the reactor while the substrate is at a temperature of about 500° C. or less and at a reactor pressure from about 100 mTorr to about 725 Torr effective to deposit a layer comprising aluminum nitride over the substrate at such temperature and reactor pressure. In one aspect, such layer is utilized as a cell dielectric layer in DRAM circuitry. In one aspect, such layer is deposited over emitters of a field emission display.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: August 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Brenda D. Kraus, Richard H. Lane
  • Patent number: 6767847
    Abstract: A method of forming a silicon nitride-silicon dioxide composite insulator layer for use as a gate insulator stack for an MOSFET device, has been developed. The method features formation of the silicon dioxide component of the gate insulator stack, after formation of the overlying silicon nitride component, allowing the gate insulator stack to be comprised with a nitrogen profile presenting enhanced barrier characteristic and less interface charge than counterpart silicon nitride-silicon dioxide composites formed wherein the silicon nitride component was deposited on an already grown underlying silicon dioxide layer. Oxygen ions, or oxygen radicals obtained via ultra-violet procedures, penetrate the silicon nitride component and locate in a top portion of the semiconductor substrate. Subsequent annealing allows reaction of the oxygen ions or radicals with a top portion of the semiconductor substrate resulting in the desired silicon dioxide component underlying silicon nitride.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: July 27, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chien-Ming Hu, Chien-Hao Chen, Mo-Chiun Yu, Shih-Chang Chen, Mong-Song Liang
  • Patent number: 6764959
    Abstract: Within a sequential and repetitive thermal oxidation and stripping method for forming a plurality of gate dielectric layers having a maximum numbered plurality of thicknesses upon a semiconductor substrate, there is provided a compensating thermal annealing when forming less than the maximum numbered plurality of thicknesses of the plurality of gate dielectric layers upon the semiconductor substrate. By employing the compensating thermal annealing, the semiconductor substrate is more readily manufacturable in conjunction with related microelectronic fabrications.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: July 20, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Mo-Chiun Yu, Shih-Chang Chen, Chen-Hua Yu
  • Patent number: 6746922
    Abstract: A dielectric insulating composite for insulating a floating gate from a control gate in a non-volatile memory is described. A material, such as an undoped polysilicon, amorphous silicon, or amorphous polysilicon or a silicon rich nitride, is inserted in the gate structure. The oxide film that results from the oxidation of these films is relatively free from impurities. As a result, charge leakage between the floating gate and control gate is reduced.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: June 8, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Michael Nuttall, Garry A. Mercaldi
  • Patent number: 6737362
    Abstract: The present disclosure provides a method for forming a gate stack structure for semiconductor devices. The disclosed method comprises steps such as forming a dielectric layer on a substrate; applying a plasma nitridation process on the formed dielectric layer; applying a first anneal process on the deposited dielectric layer; etching the dielectric layer to a predetermined thickness using a diluted etchant; applying a second anneal process using an oxygen environment on the etched dielectric layer after the etching; and forming a gate electrode layer on top of the dielectric layer. The etching makes the top portion of the etched dielectric layer have a significantly higher concentration of nitrogen than the lower portion of the etched dielectric layer so as the leakage current is significantly reduced.
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: May 18, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia Lin Chen, Chun-Lin Wu, Chi-Chun Chen, Tze Liang Lee, Shih-Chang Chen
  • Patent number: 6727146
    Abstract: This semiconductor device manufacturing method comprises the steps of: forming a thick gate oxide film (thick oxide film) in a first region of a substrate, forming a thin gate oxide film (thin oxide layer) in a second region, and then, applying oxynitridation to these gate oxide films; forming gate electrodes to 1d on these gate oxide films; and implanting an ion that contains nitrogen or nitrogen atoms into at least one part of an interface between the hick gate oxide film (thick oxide film) and the substrate before or after the step of forming the gate electrodes, thereby forming a highly oxy-nitrided region. In this manner, in a semiconductor device in which there coexist a MISFET having a thin gate insulation film and a MISFET having a thick gate insulation film, hot carrier reliability of the MISFET having the thick gate insulation film is improved.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: April 27, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Eiichi Murakami, Akio Nishida, Kazunori Umeda, Kousuke Okuyama, Toshiaki Yamanaka, Jiro Yugami, Shinichiro Kimura
  • Patent number: 6723641
    Abstract: After forming a phosphor-doped amorphous silicon film and before forming a bottom silicon oxide film, a heat treatment is performed while exhausting a gas from the vicinity of the silicon substrate. The heat treatment is performed at a temperature equal to or higher than that for forming the bottom silicon oxide film and at a pressure equal to or lower than that for forming the bottom silicon oxide film. Alternatively, after forming the phosphor-doped amorphous silicon film and before forming the bottom silicon oxide film, a TEOS oxide film and a phosphor-doped amorphous silicon film deposited on the back surface of the silicon substrate are removed. Further alternatively, these films deposited on the back surface of the silicon substrate are covered with a film which prevents gas desorption under the film formation condition for the bottom silicon oxide film.
    Type: Grant
    Filed: June 4, 2002
    Date of Patent: April 20, 2004
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kojiro Yuzuriha
  • Patent number: 6706616
    Abstract: A method for controlling temperature of a semiconductor wafer in a process chamber includes heating the chamber from a starting temperature to a stabilizing temperature at a heating rate of approximately 12 degrees Celsius per second and maintaining the chamber at the stabilizing temperature for a selected stabilization period. The chamber is then heated from the stabilizing temperature to a process temperature at a heating rate of approximately 10 degrees Celsius per second. This process temperature is maintained for a selected processing period. After the period, the chamber is cooled to an exit temperature at a selected low cooling rate.
    Type: Grant
    Filed: January 14, 2002
    Date of Patent: March 16, 2004
    Assignee: Infineon Technologies AG
    Inventors: Wilhelm Kegel, Thomas Schuster
  • Publication number: 20040038516
    Abstract: A method of manufacturing a semiconductor device by which a generation of a void is prevented after depositing an interlayer dielectric material. First, a plurality of conductive patterns are formed on a substrate and then, a capping insulation layer is formed on the conductive patterns. The capping insulation layer is treated with plasma, and an interlayer dielectric material is deposited on the plasma treated capping insulation layer. The dependency of the interlayer dielectric on the type of material and form of an underlying layer is reduced to improve a gap-filling characteristic, especially for a gap having a high aspect ratio. An improved gap-filling characteristic is accomplished and the formation of all or substantially all of the voids from forming in a gap is prevented even though an interlayer dielectric is deposited under a conventional deposition conditions.
    Type: Application
    Filed: April 15, 2003
    Publication date: February 26, 2004
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Ju-Wan Kim, Shin-Hye Kim, Ju-Bum Lee, Hyong-Soo Kim
  • Patent number: 6689700
    Abstract: Methods are described for depositing a film or discontinuous layer of discrete clusters, of material (e.g., metals, metal mixtures or alloys, metal oxides, or semiconductors) on the surface of a substrate, e.g., a patterned silicon wafer, by i) dissolving a precursor of the material into a supercritical or near-supercritical solvent to form a supercritical or near-supercritical solution; ii) exposing the substrate to the solution, under conditions at which the precursor is stable in the solution; and iii) mixing a reaction reagent into the solution under conditions that initiate a chemical reaction involving the precursor, thereby depositing the material onto the solid substrate, while maintaining supercritical or near-supercritical conditions. The invention also includes similar methods for depositing material particles into porous solids, and films of materials on substrates or porous solids having material particles deposited in them.
    Type: Grant
    Filed: November 2, 2000
    Date of Patent: February 10, 2004
    Assignee: University of Massachusetts
    Inventors: James J. Watkins, Jason M. Blackburn, David P. Long, Jason L. Lazorcik
  • Patent number: 6689701
    Abstract: The present invention discloses a method of forming a spin on glass film which can prevent a shift of the threshold voltage of a device by curing the spin on glass film with an electron beam of energy of 6-7 kV.
    Type: Grant
    Filed: December 24, 1997
    Date of Patent: February 10, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Sang Ki Hong, Sang Ho Jeon, Hyug Jin Kwon
  • Patent number: 6673709
    Abstract: The reactive element is introduced to the surface of the metal substrate in the form of an oxide powder and the aluminide-type coating is then formed.
    Type: Grant
    Filed: August 27, 2001
    Date of Patent: January 6, 2004
    Assignee: SNECMA Moteurs
    Inventors: Yann Jaslier, Alain Martinez, Marie-Christine Ntsama Etoundi, Guillaume Oberlaender
  • Publication number: 20030235957
    Abstract: A method for forming an oxide layer on a vertical, non-planar semiconductor surface provides a low stress oxide layer having a pristine interface characterized by a roughness of less than 3 angstroms. The oxide layer includes a portion that is substantially amorphous and notably dense. The oxide layer is a graded growth oxide layer including a composite of a first oxide portion formed at a relatively low temperature below the viscoelastic temperature of the oxide film and a second oxide portion formed at a relatively high temperature above the viscoelastic temperature of the oxide film. The process for forming the oxide layer includes thermally oxidizing at a first temperature below the viscoelastic temperature of the film, and slowly ramping up the temperature to a second temperature above the viscoelastic temperature of the film and heating at the second temperature.
    Type: Application
    Filed: June 25, 2002
    Publication date: December 25, 2003
    Inventors: Samir Chaudhry, Pradip K. Roy
  • Patent number: 6667232
    Abstract: A method of forming a dielectric layer suitable for use as the gate dielectric layer in a MOSFET includes passivating the surface of a semiconductor substrate at a temperature less than approximately 80° C. and nitridizing the passivation layer. In particular embodiments, passivating a silicon wafer includes forming a hydroxy-silicate layer at approximately 24° C. In a further aspect of the present invention, an integrated circuit includes a plurality of insulated gate field effect transistors, wherein various ones of the plurality of transistors have gate dielectric layers of the nitridized passivation layer.
    Type: Grant
    Filed: December 8, 1998
    Date of Patent: December 23, 2003
    Assignee: Intel Corporation
    Inventors: Steven J. Keating, Robert S. Chau, Reza Arghavani, Jack T. Kavalieros, Douglas W. Barlage
  • Publication number: 20030232491
    Abstract: A reduction of a leakage current as well as a decrease in the thickness of an insulating film is realized in a semiconductor device. To this end, a silicon oxide film and a silicon nitride film are formed on a substrate, which is then heated to a temperature within a range of 20° C.-600° C. so that a plasma nitridation process can be performed on the silicon nitride film. Further, a thermal process is performed in a non-oxide gas atmosphere. By performing these processes, the gate leakage current can be significantly reduced in the formed gate insulator, and the silicon oxide-equivalent thickness of the insulating film can be significantly decreased as well.
    Type: Application
    Filed: January 27, 2003
    Publication date: December 18, 2003
    Applicant: FUJITSU LIMITED
    Inventor: Akihisa Yamaguchi
  • Publication number: 20030224616
    Abstract: A semiconductor device producing method using a plasma processing apparatus including a processing chamber, a substrate-supporting body which supports a substrate in the processing chamber, and a cylindrical electrode and a magnetic lines of force-forming member disposed around the processing chamber, comprises forming an oxide film on the substrate, and thereafter, by changing a high frequency impedance of the substrate-supporting body, continuously forming an oxynitride film by nitriding the oxide film by activated species of nitrogen which are activated by plasma.
    Type: Application
    Filed: March 26, 2003
    Publication date: December 4, 2003
    Inventors: Unryu Ogawa, Naoya Yamakado, Tadashi Terasaki, Shinji Yashima
  • Patent number: 6649537
    Abstract: The present invention provides a method of forming a dielectric on a semiconductor substrate. A dielectric is grown at a substrate interface in a plurality of increments. Stress is relieved at the dielectric substrate interface between each increment. In another aspect, stress relief is performed by annealing the substrate. The annealing is performed by placing the substrate in an inert environment and by raising the temperature surrounding the substrate.
    Type: Grant
    Filed: November 19, 2001
    Date of Patent: November 18, 2003
    Assignee: LSI Logic Corporation
    Inventors: Steven E. Reder, Hemanshu D. Bhatt
  • Patent number: 6645827
    Abstract: A method for forming isolation regions on a semiconductor substrate, includes partially covering the surface of the semiconductor substrate with oxidation inhabiting films, and heat-treating the portions of the semiconductor substrate which are exposed from the oxidation inhabiting films. The heat treatment consists of a wet-type heating step in a gaseous atmosphere containing oxygen and hydrogen, and a dry-type heating step in a atmosphere without hydrogen which is performed after the wet-type heating step.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: November 11, 2003
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Toshiyuki Nakamura
  • Patent number: 6642117
    Abstract: A method for forming a dielectric layer provides that a oxidizable substrate has formed thereupon a thermal oxide layer in turn having formed thereupon a deposited nitride layer. The deposited nitride/thermal oxide stack layer is then sequentially: (1) annealed within a nitriding atmosphere; (2) annealed within an oxidizing atmosphere; and (3) treated with a vaporous hydrofluoric acid atmosphere. The annealed and treated stack layer provides, for example, a gate dielectric layer with diminished thickness and enhanced performance.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: November 4, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd
    Inventors: Chi-Chun Chen, Tze-Liang Lee, Shih-Chang Chen
  • Patent number: 6632750
    Abstract: Described is a manufacturing method of a semiconductor integrated circuit device by depositing a silicon nitride film to give a uniform thickness over the main surface of a semiconductor wafer having a high pattern density region and a low pattern density region. This is attained by, upon depositing a silicon nitride film over a substrate having a high gate-electrode-pattern density region and a low gate-electrode-pattern density region by using a single-wafer cold-wall thermal CVD reactor, setting a flow rate ratio of ammonia (NH3) to monosilane (SiH4) greater than that upon deposition of a silicon nitride film over a flat substrate.
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: October 14, 2003
    Assignees: Hitachi, Ltd., Hitachi ULSI Systems Co. Ltd.
    Inventors: Hidenori Sato, Yoshiyuki Hayashi, Toshio Ando
  • Publication number: 20030176063
    Abstract: A substrate is prepared whose surface has a partial area exposing an insulating material containing fluorine and at least a partial area in the other area exposing a conductive material containing copper as a main composition. The surface of the substrate is exposed to hydrogen plasma to clean the surface. A first insulating film made of insulating material is formed on the cleaned surface. It is possible to form a lamination structure having a fluorine-doped interlayer insulating film hard to be peeled off.
    Type: Application
    Filed: November 5, 2002
    Publication date: September 18, 2003
    Applicant: FUJITSU LIMITED
    Inventor: Katsumi Kakamu
  • Patent number: 6613698
    Abstract: A method for forming a thermal silicon nitride on a semiconductor substrate is disclosed. This method allows formation of thermal silicon nitride that is thick enough for a FET gate dielectric, but has a low thermal budget.
    Type: Grant
    Filed: May 17, 2001
    Date of Patent: September 2, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Glen D. Wilk, John Mark Anthony, Yi Wei, Robert M. Wallace
  • Patent number: 6610614
    Abstract: A method of forming an ultra-thin dielectric layer, including the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: August 26, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, Sunil Hattangady, Rajesh Khamankar
  • Patent number: 6602798
    Abstract: Stress resulting from silicon nitride is diminished by forming an oxidation mask with silicon nitride having a graded silicon concentration. Grading is accomplished by changing the silicon content in the silicon nitride by varying the amount of hydride, such as dichlorosilane (DCS), mixed with ammonia. The silicon nitride can be graded in a substantially linear or non-linear fashion. Silicon nitride formed with higher levels of DCS mixed with ammonia is referred to as silicon rich nitride because of its relatively higher silicon content. In one embodiment, the graded silicon nitride may be formed with one type of non-linear silicon grading, an abrupt junction. In other embodiments, the silicon nitride is formed in a variety of shapes fashioned during or after silicon nitride growth. In one embodiment, the stress from the silicon nitride is reduced by forming a polysilicon buffer layer between two silicon nitride layers.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: August 5, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Randhir P. S. Thakur, Kevin G. Donohoe, Zhiqiang Wu, Alan R. Reinberg
  • Patent number: 6576530
    Abstract: A method of fabricating shallow trench isolation. A liner silicon nitride layer and a liner silicon oxide layer are used as a hard mask to etch a semiconductor substrate, forming a shallow trench. Then, after forming a thermal oxide film on the inner wall of the shallow trench, a silicon rich oxide is formed using HDPCVD with no bias application. A silicon oxide layer is then formed to fill the shallow trench using HDPCVD with bias application.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: June 10, 2003
    Assignee: Nanya Technology Corporation
    Inventors: Yi-Nan Chen, Chung Peng Hao, Chung-Yuan Lee
  • Patent number: 6573194
    Abstract: An integrated circuit having an interconnect layer (104) that comprises a first barrier layer (106) and an aluminum-based layer (108) overlying the first barrier layer (106). An aluminum-nitride layer (112) is located on the surface of the aluminum-based layer (108). AlN layer (112) is formed by converting a native aluminum-oxide layer to AlN using a plasma with H2 and N2 supplied independently rather than supplied together in the form of ammonia.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: June 3, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Keith J. Brankner, Wei-Yan Shih
  • Patent number: 6559000
    Abstract: There is disclosed a method of manufacturing a capacitor in a semiconductor device. The present invention forms a Ru film as a lower electrode of the capacitor in which a Ta2O5 film is used as a dielectric film by introducing Ru of a raw material, oxygen and NH3 in order to reduce oxygen or a NH3 plasma process as a subsequent process is performed in order to remove oxygen existing on the surface of the Ru film. Therefore, the present invention can prevent oxidization of a diffusion prevention film due to oxygen existing in a Ru film during annealing process performed after deposition of a Ta2O5 film and thus improve reliability of the device.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: May 6, 2003
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kyong Min Kim, Jong Min Lee, Chan Lim, Han Sang Song
  • Patent number: 6559041
    Abstract: In a semiconductor device that uses a low-resistance ohmic contact and which is suitable for high-speed operation, the ohmic contacts are formed by a single-crystal CoSi2 film that is formed on the (100) surface of a silicon substrate.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: May 6, 2003
    Assignee: NEC Corporation
    Inventor: Yoshinao Miura
  • Patent number: 6555485
    Abstract: This invention relates to a method for forming a gate dielectric layer, and, more particularly, to a method for treating a base oxide layer by using a remote plasma nitridation procedure and a thermal annealing treatment in turn to form the gate dielectric layer. The first step of the present invention is to form a base oxide layer on a substrate of a wafer. The base oxide layer can be formed using any kind of method. Then nitrogen ions are introduced into the base oxide layer using the remote plasma nitridation procedure to form a remote plasma nitrided oxide layer. Finally, the wafer is placed in a reaction chamber which comprises oxygen (O2) or nitric monoxide (NO) to treat the remote plasma nitrided oxide layer using the thermal annealing procedure and the gate dielectric layer of the present invention is formed.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: April 29, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Chuan-Hsi Liu, Hsiu-Shan Lin, Yu-Yin Lin, Tung-Ming Pan, Kuo-Tai Huang
  • Patent number: 6555487
    Abstract: A method for conditioning or repairing a dielectric structure of a semiconductor device structure with selectivity over an adjacent conductive or semiconductive structure of the semiconductor device structure, such as a capacitor dielectric and an adjacent bottom electrode of the capacitor. The method includes exposing the dielectric structure and at least an adjacent surface of the conductive or semiconductive structure to an oxidizing atmosphere that includes at least one oxidant and hydrogen species. The at least one hydrogen species adsorbs to a surface of the conductive or semiconductive structure so as to substantially prevent passage of the at least one oxidant into or through the conductive or semiconductive structure. The oxidant oxidizes or repairs voids or other defects that may be present in the dielectric structure. Semiconductor device structures fabricated by employing the method are also disclosed.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: April 29, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Ronald A. Weimer, Don Carl Powell
  • Patent number: RE38674
    Abstract: A novel process for forming a robust, sub-100 Å oxide is disclosed. Native oxide growth is tightly controlled by flowing pure nitrogen during wafer push and nitrogen with a small amount of oxygen during temperature ramp and stabilization. First, a dry oxidation is performed in oxygen and 13% trichloroethane. Next, a wet oxidation in pyrogenic steam is performed to produce a total oxide thickness of approximately 80 Å. The oxide layer formed is ideally suited for use as a high integrity gate oxide below 100 Å. The invention is particularly useful in devices with advanced, recessed field isolation where sharp silicon edges are difficult to oxidize. For an oxide layer of more than 100 Å, a composite oxide stack is used which comprises 40-90 Å of pad oxide formed using the above novel process, and 60-200 Å of deposited oxide.
    Type: Grant
    Filed: September 14, 1995
    Date of Patent: December 21, 2004
    Assignee: Intel Corporation
    Inventors: Robert S. K. Chau, William L. Hargrove, Leopoldo D. Yau