Implantation Of Ion (e.g., To Form Ion Amorphousized Region Prior To Selective Oxidation, Reacting With Substrate To Form Insulative Region, Etc.) Patents (Class 438/766)
  • Patent number: 7888272
    Abstract: A semiconductor fabrication process allows the fabrication of both logic and memory devices using a conventional CMOS process with a few additional steps. The additional steps, however, do not require additional masks. Accordingly, the process can be reduce the complexity, time, and cost for fabricating logic and memory devices on the same substrate, especially for embedded applications.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: February 15, 2011
    Assignee: Macronix International Co. Ltd.
    Inventors: Kuan Fu Chen, Yin Jen Chen, Tzung Ting Han, Ming-Shang Chen, Shih Chin Lee
  • Patent number: 7875560
    Abstract: A semiconductor having an optimized insulation structure which is simple and inexpensive to produce and can be made smaller than LOCOS insulation structures is disclosed. An implantation mask on a surface of a semiconductor substrate is used to implant elements into the semiconductor substrate, which elements, on thermal activation, form an insulation region together with the further elements of the semiconductor substrate. The thermal activation is effected by means of laser irradiation, during which the semiconductor substrate is briefly melted and then recrystallizes during the subsequent cooling, so that the implanted elements form the insulation region together with the further elements of the semiconductor substrate.
    Type: Grant
    Filed: March 29, 2006
    Date of Patent: January 25, 2011
    Assignee: Infineon Technologies Austria AG
    Inventors: Markus Zundel, Norbert Krischke
  • Patent number: 7855131
    Abstract: A manufacturing method of a semiconductor device comprises a process of doping conductive impurities in a silicon carbide substrate, a process of forming a cap layer on a surface of the silicon carbide substrate, a process of activating the conductive impurities doped in the silicon carbide substrate, a process of oxidizing the cap layer after a first annealing process, and a process of removing the oxidized cap layer. It is preferred that the cap layer is formed from material that includes metal carbide. Since the oxidation onset temperature of metal carbide is comparatively low, the oxidization of the cap layer becomes easy if metal carbide is included in the cap layer. Specifically, it is preferred that the cap layer is formed from metal carbide that has an oxidation onset temperature of 1000 degrees Celsius or below, such as tantalum carbide.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: December 21, 2010
    Assignees: Toyota Jidosha Kabushiki Kaisha, Denso Corporation
    Inventors: Hirokazu Fujiwara, Masaki Konishi, Takeo Yamamoto, Eiichi Okuno, Yukihiko Watanbe, Takashi Katsuno
  • Patent number: 7838437
    Abstract: The invention relates to a method for simultaneous recrystallization and doping of semiconductor layers, in particular for the production of crystalline silicon thin layer solar cells. In this method, in a first step a substrate base layer 1 is produced, in a step subsequent thereto, on the latter an intermediate layer system 2 which has at least one doped partial layer is deposited, in a step subsequent thereto, an absorber layer 3 which is undoped or likewise doped is deposited on the intermediate layer system 2, and in a recrystallization step, the absorber layer 3 is heated, melted, cooled and tempered. In an advantageous method modification, instead of an undoped capping layer, a capping layer system 4 which has at least one partial layer can also be applied on the absorber layer 3.
    Type: Grant
    Filed: September 14, 2005
    Date of Patent: November 23, 2010
    Assignee: Fraunhofer-Gesellschaft zur Forderung der Angewandten Forschung e.V.
    Inventor: Stefan Reber
  • Patent number: 7833888
    Abstract: An integrated circuit system that includes: providing a substrate including an active device with a gate top surface exposed; implanting a do pant within the gate to alter the grain size of the gate material; forming a dielectric layer over the active device and the substrate; and annealing the integrated circuit system to transfer the stress of the dielectric layer into the active device.
    Type: Grant
    Filed: May 6, 2008
    Date of Patent: November 16, 2010
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chung Foong Tan, Jae Gon Lee, Lee Wee Teo, Elgin Kiok Boone Quek
  • Patent number: 7811896
    Abstract: In various embodiments, semiconductor structures and methods to manufacture these structures are disclosed. In one embodiment, a method to manufacture a semiconductor structure includes forming a cavity in a substrate. A portion of the substrate is doped, or a doped material is deposited over a portion of the substrate. At least a portion of the doped substrate or at least a portion of the doped material is converted to a dielectric material to enclose the cavity. The forming of the cavity may occur before or after the doping of the substrate or the depositing of the doped material. Other embodiments are described and claimed.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: October 12, 2010
    Assignee: HVVi Semiconductors, Inc.
    Inventor: Bishnu Prasanna Gogoi
  • Patent number: 7807545
    Abstract: A SIMOX wafer having a BOX layer with a thin film thickness is obtained without a reduction in productivity or deterioration in quality. In a method for manufacturing a SIMOX wafer comprising: a step of forming a first ion-implanted layer in a silicon wafer; a step of forming a second ion-implanted layer that is in an amorphous state; and a high-temperature heat treatment step of maintaining the wafer in an oxygen contained atmosphere at a temperature that is not lower than 1300° C. but less than a silicon melting point for 6 to 36 hours to change the first and the second ion-implanted layers into a BOX layer, a gas containing chlorine that is not less than 0.1 volume % but less than 1.0 volume % is mixed into an atmosphere during temperature elevation in the high-temperature heat treatment.
    Type: Grant
    Filed: February 2, 2007
    Date of Patent: October 5, 2010
    Assignee: Sumco Corporation
    Inventors: Yoshiro Aoki, Yukio Komatsu, Tetsuya Nakai, Seiichi Nakamura
  • Patent number: 7772646
    Abstract: There is a method of manufacturing a semiconductor device with a semiconductor body comprising a semiconductor substrate and a semiconductor region which are separated from each other with an electrically insulating layer which includes a first and a second sub-layer which, viewed in projection, are adjacent to one another, wherein the first sub-layer has a smaller thickness than the second sub-layer, and wherein, in a first sub-region of the semiconductor region lying above the first sub-layer, at least one digital semiconductor element is formed and, in a second sub-region of the semiconductor region lying above the second sub-layer, at least one analog semiconductor element is formed. According to an example embodiment, the second sub-layer is formed in that the lower border thereof is recessed in the semiconductor body in relation to the lower border of the first sub-layer Fully depleted SOI devices are thus formed.
    Type: Grant
    Filed: August 10, 2005
    Date of Patent: August 10, 2010
    Assignee: NXP B.V.
    Inventors: Josine Johanna Gerarda Petra Loo, Vincent Charles Venezia, Youri Ponomarev
  • Patent number: 7767583
    Abstract: Embodiments of this method improve the results of a chemical mechanical polishing (CMP) process. A surface is implanted with a species, such as, for example, Si, Ge, As, B, P, H, He, Ne, Ar, Kr, Xe, and C. The implant of this species will at least affect dishing, erosion, and polishing rates of the CMP process. The species may be selected in one embodiment to either accelerate or decelerate the CMP process. The dose of the species may be varied over the surface in one particular embodiment.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: August 3, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Deepak Ramappa, Thirumal Thanigaivelan
  • Patent number: 7759260
    Abstract: A method of fabricating a semiconductor structure. The method includes forming a first feature of a first active device and a second feature of a second active device, introducing a first amount of nitrogen into the first feature of the first active device, and introducing a second amount of nitrogen into the second feature of the second active device, the second amount of nitrogen being different from the first amount of nitrogen.
    Type: Grant
    Filed: August 16, 2006
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jay S Burnham, John J Ellis-Monaghan, James S Nakos, James J Quinlivan
  • Patent number: 7759254
    Abstract: A method of forming an impurity-introduced layer is disclosed. The method includes at least a step of forming a resist pattern on a principal face of a solid substrate such as a silicon substrate (S27); a step of introducing impurity into the solid substrate through plasma-doping in ion mode (S23), a step of removing a resist (S28), a step of cleaning metal contamination and particles attached to a surface of the solid substrate (S25a); a step of anneal (S26). The step of removing a resist (S28) irradiates the resist with oxygen-plasma or brings mixed solution of sulfuric acid and hydrogen peroxide water, or mixed solution of NH4OH, H2O2 and H2O into contact with the resist. The step of cleaning (S25a) brings mixed solution of sulfuric acid and hydrogen peroxide water, or mixed solution of NH4OH, H2O2 and H2O into contact with the principal face of the solid substrate.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: July 20, 2010
    Assignee: Panasonic Corporation
    Inventors: Yuichiro Sasaki, Katsumi Okashita, Bunji Mizuno, Hiroyuki Ito, Cheng-Guo Jin, Hideki Tamura, Ichiro Nakayama, Tomohiro Okumura, Satoshi Maeshima
  • Patent number: 7736963
    Abstract: In an embodiment, a method of forming a gate structure for a semiconductor device includes forming a preliminary gate structure on a semiconductor substrate. The preliminary gate structure includes a gate oxide pattern and a conductive pattern sequentially stacked on the substrate. Then, a re-oxidation process is performed to the substrate having the preliminary gate structure using an oxygen radical including at least one oxygen atom, so that an oxide layer is formed on a surface of the substrate and sidewalls of the preliminary gate structure to form the gate structure for a semiconductor device. The thickness of the gate oxide pattern is prevented from increasing, and the quality of the oxide layer is improved.
    Type: Grant
    Filed: July 5, 2005
    Date of Patent: June 15, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong Lee, Young-Sub You, Hun-Hyeoung Leam, Yong-Woo Hyung, Jai-Dong Lee, Ki-Su Na, Jung-Hwan Kim
  • Patent number: 7718231
    Abstract: A method of fabricating silicon-on-insulators (SOIs) having a thin, but uniform buried oxide region beneath a Si-containing over-layer is provided. The SOI structures are fabricated by first modifying a surface of a Si-containing substrate to contain a large concentration of vacancies or voids. Next, a Si-containing layer is typically, but not always, formed atop the substrate and then oxygen ions are implanted into the structure utilizing a low-oxygen dose. The structure is then annealed to convert the implanted oxygen ions into a thin, but uniform thermal buried oxide region.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: May 18, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kwang Su Choe, Keith E. Fogel, Siegfried L. Maurer, Ryan M. Mitchell, Devendra K. Sadana
  • Patent number: 7695564
    Abstract: The present invention is directed to a method for fabricating a thermal management substrate having a Silicon (Si) layer on a polycrystalline diamond film, or on a diamond-like-carbon (DLC) film. The method comprises acts of fabricating a separation by implantation of oxygen (SIMOX) wafer; depositing a polycrystalline diamond film onto the SIMOX wafer; and removing various layers of the SIMOX wafer to leave a Si overlay layer that is epitaxially fused with the polycrystalline diamond film. In the case of the DLC film, the method comprises acts of ion-implanting a Si wafer; depositing an amorphous DLC film onto the Si wafer; and removing various layers of the Si wafer to leave a Si overlay structure epitaxially fused with the DLC film.
    Type: Grant
    Filed: February 3, 2005
    Date of Patent: April 13, 2010
    Assignee: HRL Laboratories, LLC
    Inventors: Miroslav Micovic, Peter Deelman, Yakov Royter
  • Patent number: 7691734
    Abstract: A far subcollector, or a buried doped semiconductor layer located at a depth that exceeds the range of conventional ion implantation, is formed by ion implantation of dopants into a region of an initial semiconductor substrate followed by an epitaxial growth of semiconductor material. A reachthrough region to the far subcollector is formed by outdiffusing a dopant from a doped material layer deposited in the at least one deep trench that adjoins the far subcollector. The reachthrough region may be formed surrounding the at least one deep trench or only on one side of the at least one deep trench. If the inside of the at least one trench is electrically connected to the reachthrough region, a metal contact may be formed on the doped fill material within the at least one trench. If not, a metal contact is formed on a secondary reachthrough region that contacts the reachthrough region.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: April 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Bradley A. Orner, Robert M. Rassel, David C. Sheridan, Steven H. Voldman
  • Patent number: 7675048
    Abstract: A wafer handling robot, ion implanter system including a wafer handling robot and a related method are disclosed. An ion implanter system may include an ion implanting station including a load lock coupled thereto; a wafer handling robot located at least partially within the load lock, the wafer handling robot including an end effecter for handling at least one wafer, and a motor for moving the end effecter vertically; and a sensor positioned within the load lock to determine a vertical position of the end effecter.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: March 9, 2010
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Brant S. Binns, Kevin Daniels, Robert A. Poltras
  • Patent number: 7670930
    Abstract: A method of fabricating a thin film from a substrate includes implantation into the substrate, for example made of silicon, of ions of a non-gaseous species, for example gallium, the implantation conditions and this species being chosen, according to the material of the substrate, so as to allow the formation of precipitates confined in a certain depth, distributed within a layer, these precipitates being made of a solid phase having a melting point below that of the substrate. The method optionally further including intimate contacting of this face of the substrate with a stiffener, and detachment of a thin film by fracturing the substrate at the layer of precipitates by applying a mechanical and/or chemical detachment stress under conditions in which the precipitates are in the liquid phase.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: March 2, 2010
    Assignees: Commissariat a l 'Energie Atomique, S.O.I. Tec-Silicon on Insulator Technologies
    Inventors: Aurélie Tauzin, Bruce Faure, Arnaud Garnier
  • Patent number: 7659213
    Abstract: By incorporating carbon by means of ion implantation and a subsequent flash-based or laser-based anneal process, strained silicon/carbon material with tensile strain may be positioned in close proximity to the channel region, thereby enhancing the strain-inducing mechanism. The carbon implantation may be preceded by a pre-amorphization implantation, for instance on the basis of silicon. Moreover, by removing a spacer structure used for forming deep drain and source regions, the degree of lateral offset of the strained silicon/carbon material with respect to the gate electrode may be determined substantially independently from other process requirements. Moreover, an additional sidewall spacer used for forming metal silicide regions may be provided with reduced permittivity, thereby additionally contributing to an overall performance enhancement.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: February 9, 2010
    Assignee: GlobalFoundries, Inc.
    Inventors: Andy Wei, Thorsten Kammler, Jan Hoentschel, Manfred Horstmann
  • Patent number: 7659184
    Abstract: In a plasma immersion ion implantation process, the thickness of a pre-implant chamber seasoning layer is increased (to permit implantation of a succession of wafers without replacing the seasoning layer) without loss of wafer clamping electrostatic force due to increased seasoning layer thickness. This is accomplished by first plasma-discharging residual electrostatic charge from the thick seasoning layer. The number of wafers which can be processed using the same seasoning layer is further increased by fractionally supplementing the seasoning layer after each wafer is processed, which may be followed by a brief plasma discharging of the supplemented seasoning before processing the next wafer.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Manoj Vellaikal, Kartik Santhanam, Yen B. Ta, Martin A. Hilkene, Matthew D. Scotney-Castle, Canfeng Lai, Peter I. Porshnev, Majeed A. Foad
  • Patent number: 7645676
    Abstract: Semiconductor structures and methods for suppressing latch-up in bulk CMOS devices. The semiconductor structure comprises a shaped-modified isolation region that is formed in a trench generally between two doped wells of the substrate in which the bulk CMOS devices are fabricated. The shaped-modified isolation region may comprise a widened dielectric-filled portion of the trench, which may optionally include a nearby damage region, or a narrowed dielectric-filled portion of the trench that partitions a damage region between the two doped wells. Latch-up may also be suppressed by providing a lattice-mismatched layer between the trench base and the dielectric filler in the trench.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: January 12, 2010
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Robert J. Gauthier, David Vaclav Horak, Charles William Koburger, III, Jack Allan Mandelman, William Robert Tonti
  • Patent number: 7635653
    Abstract: A semiconductor integrated circuit that includes thereon a flash memory and a plurality of MOS transistors using different power supply voltages is formed by a process in which a thermal oxidation process is applied to one of the device regions while covering the other device regions by an oxidation-resistant film.
    Type: Grant
    Filed: May 23, 2005
    Date of Patent: December 22, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Hiroshi Hashimoto, Koji Takahashi
  • Publication number: 20090258474
    Abstract: Provided is a method for producing an SOI substrate having a thick-film SOI layer, in which an ion-implanted layer is formed by implanting at least one kind of ion of hydrogen ion and a rare gas ion into a surface of a bond wafer, an SOI substrate having an SOI layer is produced by, after the ion-implanted surface of the bond wafer and a surface of a base wafer are bonded together via an oxide film, delaminating the bond wafer along the ion-implanted layer, heat treatment is performed on the SOI substrate having the SOI layer in a reducing atmosphere containing hydrogen or an atmosphere containing hydrogen chloride gas, and, after the surface of the SOI layer is polished by CMP, a silicon epitaxial layer is grown on the SOI layer of the SOI substrate.
    Type: Application
    Filed: March 4, 2009
    Publication date: October 15, 2009
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Satoshi Oka, Nobuhiko Noto
  • Patent number: 7569496
    Abstract: A method for manufacturing a SiC semiconductor device includes: forming an impurity layer in a SiC layer; and forming an oxide film on the SiC layer. The forming the impurity layer includes: implanting an impurity ion in the SiC layer; forming a carbon layer on the SiC layer; heating the SiC layer for activating the implanted impurity in the SiC layer covered with the carbon layer; and removing the carbon layer from the SiC layer. The forming the carbon layer includes: coating a resist on the SiC layer; and heating the resist for evaporating organic matter in the resist so that the resist is carbonized. The forming the oxide film is performed after the removing the carbon layer.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: August 4, 2009
    Assignee: DENSO CORPORATION
    Inventors: Hiroki Nakamura, Yoshihiro Miyoshi, Eiichi Okuno
  • Patent number: 7566482
    Abstract: A method in which a SOI substrate structure is fabricated by oxidation of graded porous Si is provided. The graded porous Si is formed by first implanting a dopant (p- or n-type) into a Si-containing substrate, activating the dopant using an activation anneal step and then anodizing the implanted and activated dopant region in a HF-containing solution. The graded porous Si has a relatively coarse top layer and a fine porous layer that is buried beneath the top layer. Upon a subsequent oxidation step, the fine buried porous layer is converted into a buried oxide, while the coarse top layer coalesces into a solid Si-containing over-layer by surface migration of Si atoms.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 28, 2009
    Assignee: International Business Machines Corporation
    Inventors: Kwang Su Choe, Keith E. Fogel, Devendra K. Sadana
  • Publication number: 20090170340
    Abstract: A method of forming dielectric films including a metal silicate on a silicon substrate comprises a first step of oxidizing a surface layer portion of the silicon substrate and forming a silicon dioxide film; a second step of irradiating ion on the surface of the silicon dioxide film and making the surface layer portion of the silicon dioxide film into a reaction-accelerating layer with Si—O cohesion cut; a third step of laminating a metal film on the reaction-accelerating layer in a non-oxidizing atmosphere; and a fourth step of oxidizing the metal film and forming a metal silicate film that diffuses a metal from the metal film to the silicon dioxide film.
    Type: Application
    Filed: December 23, 2008
    Publication date: July 2, 2009
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Hideo Kitagawa, Naomu Kitano
  • Patent number: 7550369
    Abstract: The present invention provides a method for forming low-defect density changed-orientation Si by amorphization/templated recrystallization (ATR) processes in which regions of Si having a first crystal orientation are amorphized by ion implantation and then recrystallized into the orientation of a template layer having a different orientation. More generally, the invention relates to the high temperature annealing conditions needed to eliminate the defects remaining in Si-containing single crystal semiconductor materials formed by ion-implant-induced amorphization and templated recrystallization from a layer whose orientation may be the same or different from the amorphous layer's original orientation. The key component of the inventive method is a thermal treatment for minutes to hours in the temperature range 1250-1330° C. to remove the defects remaining after the initial recrystallization anneal.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: June 23, 2009
    Assignee: International Business Machines Corporation
    Inventors: Joel Pereira de Souza, Keith Edward Fogel, John Albrecht Ott, Devendra Kumar Sadana, Katherine Lynn Saenger
  • Publication number: 20090149005
    Abstract: The invention concerns a method for forming a growth mask on the surface of an initial crystalline substrate, comprising the following steps: formation of a layer of second material on one of the faces of the initial substrate of first material, formation of a pattern in the thickness of the layer of second material so as to expose the zones of said face of the initial substrate, said zones forming growth windows on the initial substrate, the method being characterised in that the formation of the pattern is obtained by ion implantation carried out in the surface layer of the initial substrate underlying the layer of second material, the implantation conditions being such that they cause, directly or after a heat treatment, on said face of the initial substrate, the appearance of exfoliated zones of first material leading to the localised removal of the zones of second material covering the exfoliated zones of first material, thereby locally exposing the initial substrate and forming growth windows on the
    Type: Application
    Filed: November 25, 2005
    Publication date: June 11, 2009
    Applicant: COMMISSARIAT A L'ENERGIE ATOMIQUE
    Inventors: Aurelie Tauzin, Chrystelle Lagahe-Blanchard
  • Publication number: 20090124095
    Abstract: A method for forming a patterned photoresist is provided, which is applicable to a substrate. The method includes: performing an implantation process over the substrate; next, performing a surface treatment process; then, forming a photoresist layer over the substrate; and thereafter, patterning the photoresist layer.
    Type: Application
    Filed: November 14, 2007
    Publication date: May 14, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: Te-Shao Hsu
  • Patent number: 7531438
    Abstract: A method of fabricating a recess channel transistor is provided. First, a hard mask is formed on a doped-semiconductor layer and a substrate. The doped-semiconductor layer and the substrate are etched to form a trench and define a source/drain in the doped-semiconductor layer. An implantation process is performed with a tilt angle on sidewalls of the trench to form an implant area. A thermal oxidation process is performed to form an oxide layer. The oxide layer comprises a first thickness on the source/drain in the sidewalls of the trench and a second thickness on the other portion in the sidewalls of the trench.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: May 12, 2009
    Assignee: ProMOS Technologies Inc.
    Inventors: Jih-Wen Chou, Chih-Hsun Chu, Hsiu-Chuan Shu
  • Patent number: 7528056
    Abstract: A cost-effective and simple method of fabricating strained semiconductor-on-insulator (SSOI) structures which avoids epitaxial growth and subsequent wafer bonding processing steps is provided. In accordance with the present invention, a strain-memorization technique is used to create strained semiconductor regions on a SOI substrate. The transistors formed on the strained semiconductor regions have higher carrier mobility because the Si regions have been strained. The inventive method includes (i) ion implantation to create a thin amorphization layer, (ii) deposition of a high stress film on the amorphization layer, (iii) a thermal anneal to recrystallize the amorphization layer, and (iv) removal of the stress film. Because the SOI substrate was under stress during the recrystallization process, the final semiconductor layer will be under stress as well. The amount of stress and the polaity (tensile or compressive) of the stress can be controlled by the type and thickness of the stress films.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: May 5, 2009
    Assignee: International Business Machines Corporation
    Inventors: Meikei Ieong, Douglas C. La Tulipe, Jr., Leathen Shi, Anna W. Topol, James Vichiconti, Albert M. Young
  • Patent number: 7524744
    Abstract: The present invention provides a method of producing an SOI wafer, comprising at least steps of forming an oxygen ion-implanted layer by implanting oxygen ions into a silicon wafer from one main surface thereof, subjecting the silicon wafer to oxide film-forming heat treatment to convert the oxygen ion-implanted layer into a buried oxide film, and thereby producing an SOI wafer having an SOI layer on the buried oxide film, wherein when the buried oxide film is formed in the silicon wafer, the buried oxide film is formed so that a thickness thereof is thicker than a thickness of the buried oxide film which the SOI wafer to be produced has, and thereafter the silicon wafer in which the thicker buried oxide film is formed is subjected to a heat treatment to reduce the thickness of the buried oxide film.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: April 28, 2009
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Hiroji Aga, Kiyotaka Takano, Kiyoshi Mitani
  • Publication number: 20090104754
    Abstract: Embodiments of methods for improving electrical leakage performance and minimizing electromigration in semiconductor devices are generally described herein. Other embodiments may be described and claimed.
    Type: Application
    Filed: December 18, 2008
    Publication date: April 23, 2009
    Applicant: TEL EPION INC.
    Inventors: Noel Russell, Steven Sherman, John J. Hautala
  • Patent number: 7485538
    Abstract: A base structure for high performance Silicon Germanium (SiGe) based heterojunction bipolar transistors (HBTs) with arsenic atomic layer doping (ALD) is disclosed. The ALD process subjects the base substrate to nitrogen gas or hydrogen gas (in ambient temperature approximately equal to 500 degrees Celsius) and provides an additional SiGe spacer layer. The surface of the final silicon cap layer is preferably etched to remove most of the arsenic. The resulting SiGe HBT with an arsenic ALD layer is less sensitive to process temperature and exposure times, and exhibits lower dopant segregation and sharper base profiles.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: February 3, 2009
    Assignee: National Semiconductor Corporation
    Inventors: Jamal Ramdani, Craig Richard Printy
  • Patent number: 7465478
    Abstract: A method of processing a workpiece includes placing the workpiece on a workpiece support pedestal in a main chamber with a gas distribution showerhead, introducing a process gas into a remote plasma source chamber and generating a plasma in the remote plasma source chamber, transporting plasma-generated species from the remote plasma source chamber to the gas distribution showerhead so as to distribute the plasma-generated species into the main chamber through the gas distribution showerhead, and applying plasma RF power into the main chamber.
    Type: Grant
    Filed: January 28, 2005
    Date of Patent: December 16, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Hiroji Hanawa, Kartik Ramaswamy, Andrew Nguyen, Amir Al-Bayati, Biagio Gallo
  • Patent number: 7452826
    Abstract: An oxidation method is capable of forming oxide films in an improved interfilm thickness uniformity. The oxidation method includes the steps of supplying an oxidizing gas and a reducing gas into a processing vessel 22 capable of being evacuated and holding a plurality of workpieces W arranged at predetermined pitches, and creating a process atmosphere containing active oxygen species and active hydroxyl species in the processing vessel 22 through the interaction of the oxidizing gas-and the reducing gas. At least either of the oxidizing gas and the reducing gas is jetted into an upstream region S1, a middle region S2 and a downstream region S3, with respect to the flowing direction of the gas, of a processing space S containing the workpieces W.
    Type: Grant
    Filed: August 11, 2006
    Date of Patent: November 18, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Kota Umezawa, Yutaka Takahashi
  • Patent number: 7446052
    Abstract: In a process involving the formation of an insulating film on a substrate for an electronic device, the insulating film is formed on the substrate surface by carrying out two or more steps for regulating the characteristic of the insulating film involved in the process under the same operation principle. The formation of an insulating film having a high level of cleanness can be realized by carrying out treatment such as cleaning, oxidation, nitriding, and a film thickness reduction while avoiding exposure to the air. Further, carrying out various steps regarding the formation of an insulating film under the same operation principle can realize simplification of the form of an apparatus and can form an insulating film having excellent property with a high efficiency.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: November 4, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Takuya Sugawara, Yoshihide Tada, Genji Nakamura, Shigenori Ozaki, Toshio Nakanishi, Masaru Sasakii, Seiji Matsuyama
  • Publication number: 20080261411
    Abstract: The present invention provides a method for manufacturing an SOI substrate by which an oxygen ion is implanted from at least one of main surfaces of a single-crystal silicon substrate to form an oxygen-ion-implanted layer and then an oxide film-forming heat treatment that changes the formed oxygen-ion-implanted layer into a buried oxide film layer is performed with respect to the single-crystal silicon substrate to manufacture the SOI substrate, the method comprising: implanting a neutral element ion having a dose amount of 1×1012 atoms/cm2 or above and less than 1×1015 atoms/cm2 into a back surface to form an ion-implanted damage layer after performing the oxide film-forming heat treatment; and gettering a metal impurity in the ion-implanted damage layer by a subsequent heat treatment to enable reducing a metal impurity concentration on a front surface side.
    Type: Application
    Filed: April 1, 2008
    Publication date: October 23, 2008
    Applicant: SHIN-ETSU HANDOTAI CO., LTD.
    Inventors: Tohru Ishizuka, Hiroshi Takeno, Nobuhiko Noto
  • Patent number: 7429514
    Abstract: A sidewall oxidation process for use during the formation of a transistor such as a flash memory cell allows for improved control of a gate oxide profile. The method comprises doping transistor source and drain regions to different doping levels, then performing a transistor sidewall oxidation using a particular process to modify the gate oxide thickness. The oxide forms at a faster rate along the source sidewall than along the drain sidewall. By using ranges within the oxidation environment described, a source side gate oxide having a variable and selectable thickness may be formed, while forming a drain-side oxide which has a single thickness where a thinner layer is desirable. This leads to improved optimization of key competing requirements of a flash memory cell, such as program and erase performance, while maintaining sufficient long-term data retention. The process may allow improved cell scalability, shortened design time, and decreased manufacturing costs.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: September 30, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Paul J. Rudeck, Don C. Powell
  • Publication number: 20080218772
    Abstract: A wafer handling robot, ion implanter system including a wafer handling robot and a related method are disclosed. An ion implanter system may include an ion implanting station including a load lock coupled thereto; a wafer handling robot located at least partially within the load lock, the wafer handling robot including an end effecter for handling at least one wafer, and a motor for moving the end effecter vertically; and a sensor positioned within the load lock to determine a vertical position of the end effecter.
    Type: Application
    Filed: March 6, 2007
    Publication date: September 11, 2008
    Inventors: Brant S. Binns, Kevin Daniels, Robert A. Poltras
  • Patent number: 7419917
    Abstract: A method is used for producing nanoscale and microscale devices in a variety of materials, such as silicon dioxide patterned buried films. The method is inexpensive and reliable for making small scale mechanical, optical, or electrical devices and relies upon the implantation of ions into a substrate and subsequent annealing to form a stoichiometric film with the device geometry is defined by the implant energy and dose and so is not limited by the usual process parameters.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: September 2, 2008
    Assignee: The Aerospace Corporation
    Inventor: Margaret H. Abraham
  • Patent number: 7413996
    Abstract: A method of forming a high k gate insulation layer in an integrated circuit on a substrate. A high k layer is deposited onto the substrate, and patterned with a mask to define the high k gate insulation layer and exposed portions of the high k layer. The exposed portions of the high k layer are subjected to an ion implanted species that causes lattice damage to the exposed portions of the high k layer. The lattice damaged exposed portions of the high k layer are etched to leave the high k gate insulation layer.
    Type: Grant
    Filed: April 14, 2003
    Date of Patent: August 19, 2008
    Assignee: LSI Corporation
    Inventors: Arvind Kamath, Wai Lo, Venkatesh Gopinath
  • Patent number: 7410877
    Abstract: A method for manufacturing a SIMOX wafer includes: heating a silicon wafer, implanting oxygen ions so as to form a high oxygen concentration layer; implanting oxygen ions into the silicon wafer obtained by the forming of the high oxygen concentration layer to form an amorphous layer; and heat-treating the silicon wafer to form a buried oxide layer, wherein in the forming of the amorphous layer, the implantation of oxygen ions is carried out after preheating the silicon wafer to a temperature lower than the heating temperature of the forming of the high oxygen concentration layer. Alternatively, the method for manufacturing a SIMOX wafer includes: in the formation of the high oxygen concentration layer, implanting oxygen ions while heating a silicon wafer at a temperature of 300° C. or more; and in the formation of the amorphous layer, implanting oxygen ions after preheating the silicon wafer to a temperature of less than 300° C.
    Type: Grant
    Filed: June 20, 2006
    Date of Patent: August 12, 2008
    Assignee: Sumco Corporation
    Inventors: Yoshiro Aoki, Riyuusuke Kasamatsu, Hideki Nishihata, Seiichi Nakamura
  • Patent number: 7384857
    Abstract: The construction of Shallow Trench Isolation, STI, regions is integrated in to a SIMOX fabrication process for a Silicon On Insulator, SOI, wafer. Prior to the beginning of the SOI process, a preferred nitrogen (N2) implant is applied to the silicon wafer in areas designated as active regions. The nitrogen modifies the oxidation rate of later implanted oxygen. Regions where the N2 is implanted result in thinner oxide layers. The SIMOX process can begin following the implantation of nitrogen. This results in buried regions of thick and thin oxide layers at fixed depths in the Si substrate. Excess Si on top of the buried thick and thin oxide regions can be polished down to the thick oxide regions to form the active device regions over the thin oxide regions. Thus, the SOI wafer exhibits an STI structure upon completion of the SOI process without a need for additional STI manufacturing steps.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: June 10, 2008
    Assignee: Seiko Epson Corporation
    Inventor: Michael Hargrove
  • Publication number: 20080124941
    Abstract: To provide a manufacturing method of a semiconductor device for forming a diffusion layer by diffusing phosphorus atoms on a surface of a silicon substrate on which resist is applied, including the step of forming a diffusion layer, with a temperature of the silicon substrate maintained lower than a deterioration temperature of the resist.
    Type: Application
    Filed: August 30, 2007
    Publication date: May 29, 2008
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: Tatsushi Ueda
  • Patent number: 7371648
    Abstract: The present invention provides a method for manufacturing a transistor device, and a method for manufacturing an integrated circuit including the same. The method for manufacturing the transistor device, among other elements, includes forming a gate structure over a substrate, implanting an atom selected from the group consisting of fluorine, silicon, or germanium into the substrate proximate the gate structure to cause at least a portion of the substrate to be in a sub-amorphous state, and implanting a dopant into the substrate having the implanted atom therein, thereby forming source/drain regions in the substrate, wherein the transistor device does not have a halo/pocket implant.
    Type: Grant
    Filed: September 1, 2006
    Date of Patent: May 13, 2008
    Assignee: Texas Instruments Incorporated
    Inventors: Jihong Chen, Srinivasan Chakravarthi, Eddie H. Breashears, Amitabh Jain
  • Patent number: 7348283
    Abstract: A method for forming a mechanically robust dielectric film comprises depositing a dielectric film on a substrate and then inducing a compressive strain in a top surface of the dielectric film to form a compressive strained surface. The compressive strain may be induced using an ion implantation process that bombards the dielectric film with ions that become implanted in the top surface of the dielectric film. The damage caused during ion implantation, as well as the implanted ions themselves, causes an expansion of the top surface which induces a biaxial compressive residual stress, thereby forming a compressive strained surface. The compressive strain reduces the amount of surface flaws present on the top surface, thereby improving the toughness of the dielectric film. In addition, the ion implantation process may modify the plasticity of the top surface and reduce the likelihood of fracture mechanisms based on dislocation pileup for crack initiation.
    Type: Grant
    Filed: December 27, 2004
    Date of Patent: March 25, 2008
    Assignee: Intel Corporation
    Inventors: Jihperng Leu, Jun He
  • Patent number: 7348186
    Abstract: A method of improving a semiconductor substrate including a SiGe film on a Si or SOI substrate is provided. The method includes determining a relationship between a film condition of the SiGe film and a hydrogen ion implantation condition used in making the SiGe film so as to achieve relaxation of lattice distortion in the SiGe film as well as improved crystallinity and/or surface condition of the SiGe film, so that improved conditions for improving quality of the SiGe film on the Si or SOI substrate can be determined.
    Type: Grant
    Filed: August 13, 2003
    Date of Patent: March 25, 2008
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Akira Yoshida, Tomoya Baba
  • Patent number: 7332443
    Abstract: The present invention relates to a method for fabricating a semiconductor device. In order to provide for a high carrier mobility in an active region of the device, germanium atoms are implanted into a surface of a semiconductor substrate such that a germanium-containing layer inside the semiconductor substrate is formed. Then, the surface of the semiconductor surface is oxidized down to and including the upper part of the germanium-containing layer, thereby pushing the implanted germanium atoms from the surface down into the semiconductor substrate and thereby enhancing the germanium concentration inside the remaining germanium-containing layer and forming a layer with enhanced germanium concentration inside the semiconductor substrate. The fabrication of the semiconductor device is concluded such that the active region of the device is placed at least partly within the layer with enhanced germanium concentration.
    Type: Grant
    Filed: March 18, 2005
    Date of Patent: February 19, 2008
    Assignee: Infineon Technologies AG
    Inventor: Ralph Stoemmer
  • Patent number: 7309646
    Abstract: A feature in a layer is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls, where the photoresist features have a first critical dimension. A fluorine-containing conformal layer is deposited over the sidewalls of the photoresist features to reduce the critical dimensions of the photoresist features. Fluorine is removed from the conformal layer, while the remaining conformal layer is left in place. Features are etched into the layer, wherein the layer features have a second critical dimension, which is less than the first critical dimension.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: December 18, 2007
    Assignee: LAM Research Corporation
    Inventors: Dongho Heo, Jisoo Kim, S. M. Reza Sadjadi
  • Patent number: 7306965
    Abstract: A first electrode thin film is formed on an upper surface of the oxygen ion conductive thin film so as to have a through hole. A resistor is formed on part of the upper surface of the oxygen conductive thin film located in the through hole. Thus, the oxygen ion conductive thin film can be directly heated by the resistor, so that oxygen ions can be speedily transferred with a low power. Therefore, the oxygen ion conductivity of the oxygen ion conductive thin film can be improved.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: December 11, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideo Torii, Eiji Fujii, Taku Hirasawa, Atsushi Tomozawa