Implantation Of Ion (e.g., To Form Ion Amorphousized Region Prior To Selective Oxidation, Reacting With Substrate To Form Insulative Region, Etc.) Patents (Class 438/766)
  • Patent number: 6797645
    Abstract: Disclosed is a method of fabricating gate dielectric for use in semiconductor device having a high dielectric constant comprising formation of a metal oxide or a metal silicate on a silicon substrate, nitridation to incorporate nitrogen component to said metal oxide and reoxidation of said metal oxide that contains said nitrogen component. In this invention, the nitridation can be performed via heat-treatment of the resulting product, wherein said metal oxide is formed within, in a nitrogen-containing gas atmosphere; performed by plasma treatment by exposing said metal oxide to a nitrogen-containing plasma atmosphere; or performed by ion instillation of nitrogen component to said metal oxide, thereby providing a gate dielectric for use in semiconductor device which is able to remarkably inhibit the increase in effective thickness resulted from a post heat-treatment at high temperature by forming a film of metal oxide such as ZrO2 followed by nitridation and reoxidation.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: September 28, 2004
    Assignee: Kwangju Institute of Science and Technology
    Inventors: Hyun Sang Hwang, Sang Hun Jeon
  • Publication number: 20040180518
    Abstract: A method for forming a, single-crystal silicon layer on a transparent substrate. A transparent substrate having an amorphous silicon layer formed thereon and a silicon wafer having a hydrogen ion layer formed therein are provided. The silicon wafer is then reversed and laminated onto the amorphous silicon layer so that a layer of single-crystal silicon is between the hydrogen ion layer and the amorphous silicon layer. The laminated silicon wafer and the amorphous silicon layer are then subjected to laser or infrared light to cause chemical bonding of the single crystal silicon layer and the amorphous silicon layer and inducing a hydro-cracking reaction thereby separating the silicon wafer is and the transparent substrate at the hydrogen ion layer, and leaving the single-crystal silicon layer on the transparent substrate.
    Type: Application
    Filed: July 28, 2003
    Publication date: September 16, 2004
    Applicant: Industrial Technology Research Institute
    Inventors: Chich Shang Chang, Chi-Shen Lee, Shun-Fa Huang, Jung Fang Chang, Wen-Chih Hu, Liang-Tang Wang, Chai-Yuan Sheu
  • Patent number: 6784115
    Abstract: Improved methods for fabricating semiconductor integrated circuit devices, in particular flash EEPROM devices. According to an embodiment, the present invention provides a method of forming a semiconductor device having a gate oxide layer (160) that is thin in some regions, such as the cell region, and thicker in other regions (165), such as the periphery region. The method simultaneously provides a gate oxide layer with two or more thicknesses without the thickness control problems of prior art methods that use contaminant-containing photoresist with an etching step. According to a specific embodiment of the present invention, the gate oxide has a first thickness that is sufficiently thin to provide high driving capability for the semiconductor ROM device, and a second thickness that is sufficiently thick to provide high voltage reliability of the semiconductor ROM device.
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: August 31, 2004
    Assignee: Mosel Vitelic, Inc.
    Inventors: Cheng-Tsung Ni, Jacson Liu, Chih-Sheng Chang, Hudy-Jong Wu
  • Patent number: 6774016
    Abstract: Disclosed are an SOI substrate and a method for manufacturing the same. The SOI substrate comprises a silicon substrate including an active region defined by a field region. The field region includes a first oxygen-ion-injected isolation region having a first thickness and being formed under the active region. The center of the first region is at a first depth from a top surface of the silicon substrate. The field region of the SOI substrate further includes a second oxygen-ion-injected region having a second thickness greater than the first thickness. The second region is formed at sides of the active region and is also formed from a top surface of the silicon substrate. The center of the second ion injected region is at a second depth from the top surface of the silicon substrate. The first and second ion injected regions surround the active region for device isolation. The SOI substrate is formed by implementing two sequential ion injecting processes.
    Type: Grant
    Filed: February 13, 2002
    Date of Patent: August 10, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Tae-Ho Jang
  • Patent number: 6774015
    Abstract: A method for fabricating a strained Si layer on insulator, a structure of the strained Si layer on insulator, and electronic systems comprising such layers are disclosed. The method comprises the steps of forming epitaxially a relaxed SiGe layer on top of a Si layer on insulator; transforming the crystalline Si layer and the lower portion of the crystalline relaxed SiGe layer into an amorphous material state by ion implantation; and re-crystallizing the amorphous material from the crystalline top portion of the SiGe layer. The larger lattice constant of the SiGe seed layer forces a tensile strain in the Si layer.
    Type: Grant
    Filed: December 19, 2002
    Date of Patent: August 10, 2004
    Assignee: International Business Machines Corporation
    Inventors: Guy Moshe Cohen, Silke Hildegard Christiansen
  • Publication number: 20040150067
    Abstract: A semiconductor structure and methods for fabricating are disclosed. In an implementation, a method of fabricating a semiconductor structure includes forming a first semiconductor material substrate with a first dielectric area having a first thickness and a second dielectric area having a second thickness, bonding the first substrate to a second semiconductor substrate, and thinning at least one of the first and second substrates. The invention also pertains to a semiconductor structure. The structure includes a semiconductor substrate having a surface layer of semiconductor material, a first dielectric layer of a first dielectric material buried under the surface layer, and a second dielectric layer buried under the surface layer. In an embodiment, the thickness of the first dielectric layer is different than the thickness of the second dielectric layer.
    Type: Application
    Filed: November 12, 2003
    Publication date: August 5, 2004
    Inventors: Bruno Ghyselen, Oliver Rayssac, Cecile Aulnette, Carlos Mazure
  • Patent number: 6770570
    Abstract: A semiconductor device 100 includes a low-k dielectric insulator 104. In the preferred embodiment, a low-k dielectric material 104 is deposited. This material 104 is then cured using a plasma cure step. The cure process causes the density of the top portion 106 of layer 104 to be increased. The higher density portion 106, however, also has a higher dielectric constant. As a result, the dielectric constant of the layer 104 can be reduced by removing this higher density portion 106. This leads to a lower dielectric constant (e.g., less than about 3) of the bulk film.
    Type: Grant
    Filed: November 15, 2002
    Date of Patent: August 3, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lih-Ping Li, Hsin-Hsien Lu, Syun-Ming Jang
  • Patent number: 6770538
    Abstract: Oxidation methods and resulting structures including providing an oxide layer on a substrate and then re-oxidizing the oxide layer by vertical ion bombardment of the oxide layer in an atmosphere containing at least one oxidant. The oxide layer may be provided over diffusion regions, such as source and drain regions, in a substrate. The oxide layer may overlie the substrate and is proximate a gate structure on the substrate. The at least one oxidant may be oxygen, water, ozone, or hydrogen peroxide, or a mixture thereof. These oxidation methods provide a low-temperature oxidation process, less oxidation of the sidewalls of conductive layers in the gate structure, and less current leakage to the substrate from the gate structure.
    Type: Grant
    Filed: August 22, 2001
    Date of Patent: August 3, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Pai-Hung Pan
  • Patent number: 6764930
    Abstract: A metal oxide semiconductor (MOS) capacitor formed according to a process in which Fermi level enhanced oxidation is suppressed by the introduction of nitrogen impurities into an N-doped impurity region is formed to utilize the N-doped impurity region as a lower electrode and includes a capacitor dielectric having a reduced thickness with respect to other portions of the thermal oxide film formed over N-doped impurity regions. The capacitor is highly linear and includes a high capacitance density. The process used to form the capacitor includes thermally oxidizing a substrate such that an oxide film is formed to include multiple thicknesses including an enhanced oxide growth rate producing an oxide film of increased thickness in N-doped impurity regions and a section within nitrogen-doped impurity portions of the N-doped impurity region in which the enhanced oxidation growth is suppressed and the film formed in this region includes a desirably reduced thickness.
    Type: Grant
    Filed: September 26, 2001
    Date of Patent: July 20, 2004
    Assignee: Agere Systems Inc.
    Inventors: Jerome Tsu-Rong Chu, Sidhartha Sen
  • Patent number: 6756285
    Abstract: A multilayer structure with controlled internal stresses comprising, in this order, a first main layer (110a), at least a first constraint adaptation layer (130) in contact with the first main layer, at least a second stress adaptation layer (120) put into contact by adhesion with said first stress adaptation layer, and a second main layer (110b) in contact with the second stress adaptation layer, the first and second stress adaptation layers having contact stresses with the first and second main layers. Application to the realization of electronic circuits and membrane devices.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: June 29, 2004
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Hubert Moriceau, Olivier Rayssac, Anne-Marie Cartier, Bernard Aspar
  • Patent number: 6750127
    Abstract: An amorphous carbon layer is implanted with one or more dopants that enhance the etch resistivity of the amorphous carbon to etchants such as chlorine and HBr that are typically used to etch polysilicon. Such a layer may be pattern to form a handmask for etching polysilicon that provides improved pattern transfer accuracy compared to conventional undoped amorphous carbon.
    Type: Grant
    Filed: February 14, 2003
    Date of Patent: June 15, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark S. Chang, Darin Chan, Chih Yuh Yang, Lu You, Scott A. Bell, Srikanteswara Dakshina-Murthy, Douglas J. Bonser
  • Publication number: 20040097099
    Abstract: A semiconductor device 100 includes a low-k dielectric insulator 104. In the preferred embodiment, a low-k dielectric material 104 is deposited. This material 104 is then cured using a plasma cure step. The cure process causes the density of the top portion 106 of layer 104 to be increased. The higher density portion 106, however, also has a higher dielectric constant. As a result, the dielectric constant of the layer 104 can be reduced by removing this higher density portion 106. This leads to a lower dielectric constant (e.g., less than about 3) of the bulk film.
    Type: Application
    Filed: November 15, 2002
    Publication date: May 20, 2004
    Inventors: Lih-Ping Li, Hsin-Hsien Lu, Syun-Ming Jang
  • Publication number: 20040092131
    Abstract: The invention concerns a method which consists in evaporating silicon oxide to form a silicon oxide film at the surface of a substrate and in bombarding said silicon film, while it is being formed, with a beam of positive ions derived from both a polyfluorocarbon compound and a rare gas. The invention is useful for producing low-index antiglare films.
    Type: Application
    Filed: July 25, 2003
    Publication date: May 13, 2004
    Inventors: Karin Scherer, Pascale Lacan, Richard Bosmans
  • Patent number: 6727155
    Abstract: A method forming sidewall spacers on a semiconductor substrate without using the conventional plasma etching method is disclosed. In the method, a semiconductor substrate that has a gate structure formed on a top surface is first provided, followed by the deposition of a dielectric material layer on top of the semiconductor substrate. The substrate is then rotated to a rotational speed of at least 50 rpm, and an acid vapor is flown onto the substrate until the sidewall spacers are formed. The dielectric material layer for forming the sidewall spacers may be SiO2, SiON or Si3N4. The acid vapor utilized may be formed from an acid of HF, H3PO4, H2SO4 or HCl. In a preferred embodiment, the semiconductor substrate may be rotated to a rotational speed between about 100 rpm and about 150 rpm for a time period between about 10 sec. and about 20 sec.
    Type: Grant
    Filed: December 18, 2002
    Date of Patent: April 27, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jiunn-Der Yang, Chaucer Chnug, Yuan-Chang Huang
  • Publication number: 20040056354
    Abstract: Treatment of dielectric material includes using a directed energy to break bonds in a dielectric material and a reactive gas to repair those bonds with an element of the reactive gas. The treated dielectric material may exhibit greater mechanical strength without a significantly greater dielectric constant. A treatment reactor including a directed energy source apparatus and a delivery mechanism to deliver the reactive gas is also described.
    Type: Application
    Filed: September 23, 2002
    Publication date: March 25, 2004
    Inventors: Grant M. Kloster, David W. Staines, Jihperng Leu
  • Patent number: 6706612
    Abstract: A method for fabricating a shallow trench isolation structure includes forming a hard mask layer over a substrate. An ion bombardment step is further performed on the surface of the hard mask layer, followed by forming a patterned photoresist layer on the surface of the hard mask layer. Thereafter, the hard mask layer is patterned using the photoresist layer as an etching mask. An etching process is further performed to form a trench in the substrate. The photoresist layer is then removed, followed by filling an insulation layer in the trench. After this, the hard mask is removed to complete the fabrication of a shallow trench isolation region.
    Type: Grant
    Filed: July 8, 2002
    Date of Patent: March 16, 2004
    Assignee: Macronix International Co., Ltd.
    Inventors: Szu-Tsun Ma, Kent Kuohua Chang
  • Patent number: 6703322
    Abstract: Multiple oxide layers with different thicknesses are formed on a semiconductor substrate with a silicon surface, having a first and second region. A sacrificial oxide layer is formed on the silicon surface to cover both the first region and the second region, with a mask layer formed on the surface of the sacrificial oxide layer. By defining and patterning the mask layer, a first opening and a second opening, having predetermined surface areas, are formed in portions of the first and second regions of the mask layer to expose portions of the. The sacrificial oxide layer has a surface area equal to the first predetermined surface area, and portions of the sacrificial oxide layer having a surface area equal to the second predetermined surface area. A linear nitrogen doping process is then performed to simultaneously implant nitrogen ions with a first and second predetermined concentration into the first and second region, through the first opening and the second opening, respectively.
    Type: Grant
    Filed: August 5, 2002
    Date of Patent: March 9, 2004
    Assignee: Macronix International Co. Ltd.
    Inventors: June-Min Yao, Cheng-Shun Chen, Shu-Ya Hsu
  • Patent number: 6703293
    Abstract: A method of fabricating a Si1−XGeX film on a silicon substrate includes preparing a silicon substrate; epitaxially depositing a Si1−XGeX layer on the silicon substrate forming a Si1−XGeX/Si interface there between; amorphizing the Si1−XGeX layer at a temperature greater than Tc to form an amorphous, graded SiGe layer; and annealing the structure at a temperature of between about 650° C. to 1100° C. for between about ten seconds and sixty minutes to recrystallize the SiGe layer.
    Type: Grant
    Filed: July 11, 2002
    Date of Patent: March 9, 2004
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Douglas J. Tweet, Sheng Teng Hsu, Jer-shen Maa, Jong-Jan Lee
  • Patent number: 6689688
    Abstract: A method for forming silicide contacts includes forming a layer on silicon-containing active device regions such as source, drain, and gate regions. The layer contains a metal that is capable of forming one or more metal silicides and a material that is soluble in a first metal silicide but not soluble in a second metal silicide, or is more soluble in the first metal silicide than in the second metal silicide. The layer may be formed by vapor deposition methods such as physical vapor deposition, chemical vapor deposition, evaporation, laser ablation, or other deposition method. A method for forming silicide contacts includes forming a metal layer, then implanting the metal layer and/or underlying silicon layer with a material such as that described above. The material may be implanted in the silicon layer prior to formation of the metal layer. Contacts formed include a first metal silicide and a material that is more soluble in a first metal silicide than in a second metal silicide.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: February 10, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul Raymond Besser, Simon S. Chan, David E. Brown, Eric Paton
  • Publication number: 20040023512
    Abstract: Multiple oxide layers with different thicknesses are formed on a semiconductor substrate with a silicon surface, having a first and second region. A sacrificial oxide layer is formed on the silicon surface to cover both the first region and the second region, with a mask layer formed on the surface of the sacrificial oxide layer. By defining and patterning the mask layer, a first opening and a second opening, having predetermined surface areas, are formed in portions of the first and second regions of the mask layer to expose portions of the. The sacrificial oxide layer has a surface area equal to the first predetermined surface area, and portions of the sacrificial oxide layer having a surface area equal to the second predetermined surface area. A linear nitrogen doping process is then performed to simultaneously implant nitrogen ions with a first and second predetermined concentration into the first and second region, through the first opening and the second opening, respectively.
    Type: Application
    Filed: August 5, 2002
    Publication date: February 5, 2004
    Inventors: June-Min Yao, Cheng-Shun Chen, Shu-Ya Hsu
  • Patent number: 6680243
    Abstract: A method for forming shallow junctions in a substrate. The substrate is masked with a first mask to selectively cover first portions of the substrate and selectively expose second portions of the substrate. A first dopant is implanted substantially within a first depth zone through the second portions of the substrate. The first depth zone extends from a first depth to a second depth, and the first depth is shallower than the second depth. The substrate is annealed for a first time to form a noncontiguous buried insulating layer substantially within the first depth zone in the second portions of the substrate. The substrate is masked with a second mask to selectively cover third portions of the substrate and selectively expose fourth portions of the substrate. The fourth portions of the substrate at least partially overlap the second portions of the substrate. A second dopant is implanted substantially within a second depth zone through the fourth portions of the substrate.
    Type: Grant
    Filed: June 29, 2001
    Date of Patent: January 20, 2004
    Assignee: LSI Logic Corporation
    Inventors: Arvind Kamath, Rajiv L. Patel
  • Patent number: 6680223
    Abstract: In a bottom gate type semiconductor device made of a semiconductor layer with crystal structure, source/drain regions are constructed by a lamination layer structure including a first conductive layer (n+ layer), a second conductive layer (n− layer) having resistance higher than the first conductive layer, and an intrinsic or substantially intrinsic semiconductor layer (i layer). At this time, the n− layer acts as LDD region, and the i layer acts as an offset region is a film thickness direction.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: January 20, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Jun Koyama, Takeshi Fukunaga
  • Patent number: 6680260
    Abstract: There is provided a method of producing a bonded SOI wafer wherein a silicon single crystal ingot is grown according to Czochralski method, the single crystal ingot is then sliced to produce a silicon single crystal wafer, the silicon single crystal wafer is subjected to heat treatment in a non-oxidizing atmosphere at a temperature of 1100° C. to 1300° C. for one minute or more and continuously to a heat treatment in an oxidizing atmosphere at a temperature of 700° C. to 1300° C. for one minute or more without cooling the wafer to a temperature less than 700° C. to provide a silicon single crystal wafer wherein a silicon oxide film is formed on the surface, and the resultant wafer is used as the bond wafer, and a bonded SOI wafer produced by the method. There can be provided a SOI wafer that has a SOI layer having few crystal defects, good surface roughness and high quality in high productivity, in high yield and with low cost.
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: January 20, 2004
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Shoji Akiyama, Masaro Tamatsuka
  • Patent number: 6664146
    Abstract: For fabricating field effect transistors with a semiconductor substrate in SOI (semiconductor on insulator) technology, a first hardmask is formed on a first area of the semiconductor substrate, and a first dielectric forming dopant is implanted into a second area of the semiconductor substrate that is not covered by the first hardmask. The first hardmask is removed from the first area of the semiconductor substrate. A second hardmask is formed on the second area of the semiconductor substrate, and a second dielectric forming dopant is implanted into the first area of the semiconductor substrate that is not covered by the second hardmask. A thermal anneal is performed to form a first buried insulating structure from the second dielectric forming dopant reacting within the first area of the semiconductor substrate and to form a second buried insulating structure from the first dielectric forming dopant reacting within the second area of the semiconductor substrate.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6664198
    Abstract: Methods of forming thin nitride dielectric layers for semiconductor devices are provided. Additionally, methods of forming capacitor structures utilizing thin nitride dielectric layers are provided. The thin nitride layers are formed by nitridizing the surface of a doped or undoped semiconductor substrate using a remote plasma nitridization or a rapid thermal nitridization to form a first growth of silicon nitride. A self-limiting second growth of silicon nitride is formed using a remote plasma nitridization. The resulting silicon nitride layers exhibit improved dielectric and leakage characteristics.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: December 16, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, John Zhang, Er-Xuan Ping
  • Patent number: 6656806
    Abstract: A Silicon On Insulator (SOI) structure and method of producing an SOI structure that can prevent a short circuit between a Local Inter-Connect (LIC) and a well in the SOI structure is disclosed. The SOI structure includes a BOX layer of insulation material formed on a silicon substrate; an SOI layer formed on the BOX layer; a well formed within a device isolation area of the SOI layer such that its lower surface is in contact with the BOX layer; a field oxide film formed on a surface side within the well; a gate line formed across an active area on the SOI layer and a portion on the field oxide film; an N+ type source/drain area formed within the active area along both sides of the gate line to contact its lower surface with the BOX layer; an insulation layer formed on such resultant structure; and an opening part that is formed within the insulation layer.
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: December 2, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Min-Su Kim
  • Patent number: 6656775
    Abstract: A semiconductor substrate that suppresses not only auto doping but also warpage can be provided by disposing an oxide film (4) at a position in a semiconductor substrate (1), so as to be apart from a main surface (1a) and a reverse surface (1b). The oxide film (4) can be so disposed that it is apart not less than 200 nm from the reverse surface (1b), and extends throughout the semiconductor substrate (1) in a thickness of 400 to 1000 nm, by implanting oxygen ion from the reverse surface (1b), followed by annealing.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: December 2, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Kazuhito Matsukawa
  • Patent number: 6649462
    Abstract: A gate insulating film is provided on a channel region. A gate electrode includes a lower part and an upper part. The lower part has a lower surface and sides, and the upper part has a lower surface. The lower surface of the lower part contacts the gate insulating film. The upper part is longer than the lower part in a lengthwise direction of a gate electrode. The first insulating film is interposed between the lower surface of the upper part of the gate electrode and a semiconductor substrate. The first insulating film surrounds at least the sides of the lower part of the gate electrode, which face drain and source regions, and having parts interposed between the lower surface of the upper part of the gate electrode and the semiconductor substrate and made thicker than the other parts.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: November 18, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Azuma, Satoshi Matsuda
  • Patent number: 6624090
    Abstract: A method of forming a thin silicon dioxide gate dielectric layer comprised with a nitrided silicon dioxide component, obtained via a plasma nitrogen procedure performed to a base silicon dioxide layer, has been developed. The silicon dioxide gate dielectric layer, comprised with a top portion of nitrided silicon dioxide, allows lower leakage currents to be realized when compared to non-nitrided silicon dioxide counterparts. To prevent nitrogen ions or radicals from penetrating the base silicon dioxide layer during the plasma nitrogen procedure, silicon oxynitride components are formed in the base silicon dioxide layer either during the growth procedures using N2O, NO or N2O/NO as reactants, or via a post growth anneal procedure, using an anneal ambient comprised of either N2O, NO, or N2O/NO.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: September 23, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Mo Chiun Yu, Chien Hao Chen, Shih Chang Chen
  • Patent number: 6617034
    Abstract: A SOI substrate of high quality which allows LSI to be formed thereon in an improved yield and realizes excellent electric properties and a method for the production thereof are provided. The SOI substrate is obtained by forming an embedded oxide layer on a silicon single crystal substrate and forming a SOI layer for the formation of a device on the embedded oxide layer and is characterized by the SOI layer containing pit-like defects at a density of not more than 5 cm−2 or the embedded oxide layer containing pinhole defects at a density of less than one piece/cm2.
    Type: Grant
    Filed: August 1, 2000
    Date of Patent: September 9, 2003
    Assignee: Nippon Steel Corporation
    Inventors: Isao Hamaguchi, Atsushi Ikari, Atsuki Matsumura, Keisuke Kawamura, Takayuki Yano, Yoichi Nagatake
  • Patent number: 6610614
    Abstract: A method of forming an ultra-thin dielectric layer, including the steps of: providing a substrate having a semiconductor surface; forming an oxygen-containing layer on the semiconductor surface; exposing the oxygen-containing layer to a nitrogen-containing plasma to create a uniform nitrogen distribution throughout the oxygen-containing layer; and re-oxidizing and annealing the layer to stabilize the nitrogen distribution, heal plasma-induced damage, and reduce interfacial defect density.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: August 26, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Hiroaki Niimi, Sunil Hattangady, Rajesh Khamankar
  • Patent number: 6593205
    Abstract: A method of fabricating a silicon-on-insulator (SOI) substrate including at least one patterned buried oxide region having well defined edges is provided. The method includes a step of implanting first ions into a surface of a Si-containing substrate so as to form an implant region of the first ions in the Si-containing substrate. Following the first implant step, a selective implant process is employed wherein second ions that are insoluble in SiO2 are incorporated into portions of the Si-containing substrate. The second ions employed in the selective implant step are capable of preventing the implant region of first ions from forming an oxide region during a subsequent annealing step. An annealing step is then performed which causes formation of a buried oxide region in the implant region of first ions that does not include the second ions.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: July 15, 2003
    Assignee: International Business Machines Corporation
    Inventors: Tze-chiang Chen, Devendra K. Sadana
  • Patent number: 6593173
    Abstract: Methods of producing buried insulating layers in semiconductor substrates are disclosed whereby a dose of selected ions is implanted into a substrate to form a buried precursor layer below an upper layer of the substrate, followed by oxidation of the substrate in an atmosphere having a selected oxygen concentration to form an oxide surface layer. The oxidation is performed at a temperature and for a time duration such that the formation of the oxide layer causes the injection of a controlled number of atoms of the substrate from a region proximate to an interface between the newly formed oxide layer and the substrate into the upper regions of the substrate to reduce strain. A high temperature annealing step is then performed to produce the insulating layer within the precursor layer.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: July 15, 2003
    Assignee: Ibis Technology Corporation
    Inventors: Maria J. Anc, Robert P. Dolan
  • Patent number: 6573192
    Abstract: A method of forming on a common semiconductor body (substrate) silicon oxide layers of different thicknesses uses plasma treatment on selected portions of an original thermally grown silicon oxide layer. The plasma treated portions are completely etched away to expose a portion of the surface of the body while non-selected portions of the original silicon oxide layer are little effected by the etch. A thermally grown second layer of silicon oxide is formed with the result being that the silicon oxide layer formed in the exposed portions of the body is thinner than elsewhere. The use of dual thickness silicon oxide layers is useful with dynamic random access memories (DRAMs) as gate oxide layers of field transistors of memory cells of the DRAM typically require different electrical characteristics than transistors of support circuitry of the DRAM.
    Type: Grant
    Filed: September 21, 2000
    Date of Patent: June 3, 2003
    Assignee: Infineon Technologies AG
    Inventor: Heon Lee
  • Publication number: 20030092238
    Abstract: A high dielectric film is formed by utilizing atom injection into a film through ion implantation or the like, and heat treatment. For example, an SiO2 film 102 which is a thermal oxide film is formed on a silicon substrate 101, and then Zr ions (Zr+) are injected from a plasma 105 into the SiO2 film 102. Thereafter, by annealing the SiO2 film 102 and a Zr injected layer 103, injected Zr is diffused in the Zr injected layer 103 and then the SiO2 film 102 and the Zr injected layer 103 are as a whole changed into a high dielectric film 106 of a high dielectric constant formed of Zr—Si—O (silicate). By using the high dielectric film 106 as an insulating film for an MISFET, an MISFET having excellent gate leakage properties can be achieved.
    Type: Application
    Filed: September 11, 2002
    Publication date: May 15, 2003
    Inventor: Koji Eriguchi
  • Publication number: 20030082922
    Abstract: A method of fabricating an integrated circuit having shallow junctions is provided. A SOG layer containing impurities is formed on a semiconductor substrate. Impurity ions are additionally implanted into the SOG layer by a plasma ion implantation method to increase the concentration of impurities in the SOG layer. The impurity ions contained in the SOG layer having the increased concentration of impurities are rapidly heat-treated and diffused into the semiconductor substrate by a solid phase diffusion method to form shallow junctions. As a result, the concentration of impurities is precisely controlled by the plasma ion implantation method, and impurity ions are not directly implanted into the semiconductor substrate. Thus, the crystal structure of the semiconductor substrate is not damaged.
    Type: Application
    Filed: December 28, 2001
    Publication date: May 1, 2003
    Inventors: Seong-jae Lee, Won-ju Cho, Kyoung-wan Park
  • Patent number: 6555484
    Abstract: Two different regions of a semiconductor substrate are implanted with dopants/ions. The implantation may occur though a sacrificial oxide layer disposed over the substrate. Following implantation in one or both regions, the substrate may be annealed and the sacrificial oxide layer removed. An oxide layer is then grown over the implanted regions of the substrate. For some embodiments, the substrate may be implanted with arsenic and/or with phosphorus. Further, the anneal may be performed for approximately 30 to 120 minutes at a temperature between approximately 900° C. and 950° C.
    Type: Grant
    Filed: June 19, 1997
    Date of Patent: April 29, 2003
    Assignee: Cypress Semiconductor Corp.
    Inventors: Krishnaswamy Ramkumar, Hanna Bamnolker
  • Patent number: 6555451
    Abstract: A method is provided for making ultra-shallow diffused junctions using an elemental dopant. A semiconductor wafer is cleaned for providing a clean reaction surface. The cleaned wafer in loaded onto a stage located in a doping system. A quantity of elemental dopant atoms are placed in a partially enclosed elemental dopant source which is within a secondary vacuum enclosure. A quantity of the elemental dopant atoms having thermal velocities are deposited onto a surface of the wafer, and the wafer is heated for diffusing the elemental dopant into the wafer. In one embodiment, the heating is conducted by heating the wafer in ultra-high vacuum for diffusing the portion of the doping atoms into the wafer, and the deposition and heating occur simultaneously. In another embodiment, the surface of the wafer is hydrogen terminated, the wafer is removed from the UHV system, and the heating of the wafer is conducted outside of the UHV system by heating the wafer in a furnace.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: April 29, 2003
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Francis J. Kub, Karl D. Hobart
  • Patent number: 6551879
    Abstract: A method for forming a semiconductor device that includes defining a substrate to include a peripheral section and a core section, masking the peripheral section of the substrate, growing a first dielectric layer over the core section of the substrate, depositing a first polysilicon layer over the first dielectric layer for forming at least one gate structure, growing a first oxide layer over the first polysilicon layer, depositing a nitride layer over the first oxide layer, implanting oxygen ions into the nitride layer, unmasking the peripheral section of the substrate, and growing a second oxide layer over the nitride layer, wherein the growth rate of the second oxide layer is increased due to the implantation of oxygen ions in the nitride layer.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: April 22, 2003
    Assignee: Macronix International Co., Inc.
    Inventor: Kent Kuohua Chang
  • Patent number: 6548360
    Abstract: An electrostatic discharge protection apparatus with silicon control rectifier and the method of fabricating the apparatus. Using silicon on insulator technique, a bottom layer, a P-well, a first source/drain region, a second source/drain region and a gate are formed. A selective epitaxial growth region is selectively formed on the first source/drain region, and an N+ region is formed on the bottom layer. The lower portion of the N+ region is then adjacent to the P-well, and the upper portion of the N+ region is adjacent to the gate. Thus, a PNPN silicon control rectifier is formed, and the silicon on insulation CMOS technique is effectively transplanted into the electrostatic discharge apparatus.
    Type: Grant
    Filed: September 10, 2001
    Date of Patent: April 15, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Chiu-Tsung Huang, Wen-Kuan Yeh, Lu-Min Liu
  • Patent number: 6548379
    Abstract: A SOI substrate includes a SiO2 film (230) having a center located at the depth of the damage peak where the crystal damage is maximum after the Si substrate (10) is ion-implanted with oxygen ions. Even if a crystal defect (240) remains at the depth of the density peak where the density is maximum, the crystal defect does not effect the device operation because it is outside the active layer. By using a low-dose SIMOX process, a lower-cost SOI substrate can be obtained wherein crystal defects formed in the active layer are reduced.
    Type: Grant
    Filed: May 29, 2001
    Date of Patent: April 15, 2003
    Assignee: NEC Corporation
    Inventor: Atsushi Ogura
  • Patent number: 6534406
    Abstract: A disclosed embodiment comprises patterning a conductor in a dielectric in a semiconductor die. The dielectric can be, for example, silicon oxide or a low-k dielectric while the conductor can comprise aluminum, copper, or a copper-aluminum alloy. Thereafter, a blanket of high permeability layer is deposited over the dielectric. The high permeability layer can comprise high permeability materials such as nickel, iron, nickel-iron alloy, or a magnetic oxide. The blanket deposition of the high permeability layer can be accomplished by, for example, a sputtering technique. After depositing the high permeability layer, a portion of the atoms or molecules in the high permeability layer is driven into the underlying dielectric to increase the permeability of the dielectric. As an example, an ion implanter using heavy ions such as silicon ions or germanium ions can be used to drive some of the atoms or molecules in the high permeability layer into the underlying dielectric.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: March 18, 2003
    Assignee: Newport Fab, LLC
    Inventors: David J. Howard, Q.Z Liu
  • Patent number: 6531410
    Abstract: Damascene or non-damascene processing when used with a method that includes (a) forming a mask having an opening therethrough on a structure, said opening having sidewalls; (b) implanting an inhibiting species into said structure through the opening so as to form an inhibiting region in said structure; and (c) growing a dielectric layer on the structure in said opening, wherein the inhibiting region partially inhibits growth of the dielectric layer is capable of forming a semiconductor structure, e.g., MOSFET or anti-fuse, including a dual thickness dielectric layer. Alternatively, the dual thickness dielectric can be formed by replacing the inhibiting species mentioned above with a dielectric growth enhancement species which forms an enhancing region in the structure which aids in the growth of the dielectric layer.
    Type: Grant
    Filed: February 27, 2001
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Claude Louis Bertin, Anthony J. Dally, John Atkinson Fifield, John Jesse Higgins, Jack Allan Mandelman, William Robert Tonti, Nicholas Martin van Heel
  • Patent number: 6531411
    Abstract: A method of improving surface morphology of a semiconductor substrate when using an SOI technique comprises providing a silicon ingot positioned on a support member, orientating the silicon ingot in relation to the support member, and a cutting device, and cutting the silicon ingot along about a (100) crystal plane of the silicon ingot, preferably using a wire saw. This then provides a silicon substrate having an initial surface defining a miscut angle which is less than about 0.15 degrees from the (100) crystal plane. The method then comprises processing the silicon substrate using SIMOX processing, which includes implanting oxygen atoms in the silicon substrate to form a buried oxide layer and annealing the silicon substrate to provide a final substrate surface. Finally, the method includes accepting the final substrate surface for further processing when the final substrate surface measures between 2-20 Å RMS using an atomic force microscopy technique.
    Type: Grant
    Filed: November 5, 2001
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Domenicucci, Neena Garg, Kenneth J. Giewont, Richard J. Murphy, Gerd Pfeiffer, Gregory D. Pomarico, Frank J. Schmidt, Jr., Terrance M. Tornatore
  • Patent number: 6531409
    Abstract: Since there are some cases where a CF film used as an interlayer dielectric film of a semiconductor device has a leak current which is too high to obtain required characteristics, it is required to decrease the leak current of the CF film. Ar gas is used as a plasma producing gas, and a compound gas of C and F, e.g., C4F8 gas, a hydrocarbon gas, e.g., C2H4 gas, and a boron containing gas, e.g., BF3 gas are used as thin film deposition gases. These gases are activated as plasma to deposit a CF film on a semiconductor wafer 10 using active species thereof. By adding the boron containing gas, boron is added to unreacted C and F and unbonded hands thereof which exist in the CF film, so that the number of the unbonded hands decreases. Therefore, it is difficult to form a current flowing path, so that the leak current decreases.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: March 11, 2003
    Assignee: Tokyo Electron Limited
    Inventor: Yoko Iwabuchi
  • Patent number: 6528434
    Abstract: The present invention provides a method of forming different thickness” of a gate oxide layer simultaneously, by employing a pulse Nitrogen plasma implantation. The method provides a semiconductor substrate with the surface of the silicon in the semiconductor substrate separated into a first region and a second region at least. Then a thin surface on the surface of the silicon of the first region is implanted using a first predetermined concentration of the Nitrogen ions. The thin surface on the surface of the silicon in the second region is implanted using a second predetermined concentration of the Nitrogen ions. An oxidation process is subsequently performed. The first predetermined thickness and the second predetermined thickness of the silicon oxide layer are formed simultaneously on the surface of the silicon in the first region and in the second region. The Nitrogen ions are implanted in the surface of the silicon by forming the pulse nitrogen plasma in-situ.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: March 4, 2003
    Assignee: Macronix International Co. Ltd.
    Inventor: Wei-Wen Chen
  • Patent number: 6527968
    Abstract: A process for etching a substrate 25 in an etching chamber 105, and simultaneously removing etch residue deposited on the surfaces of the walls 110 and components of the etching chamber 105. In one version, a two-stage method of opening a nitride mask layer on the substrate includes a first stage of providing a highly chemically reactive process gas in the chamber 105 to etch the nitride layer 32 and/or an underlying oxide layer 34, and a second stage of providing a less chemically reactive process gas in the chamber to etch the nitride layer 32 and/or the oxide layer 34 at a slower rate than the first stage. The first and second stage process gases may each comprise a fluorine containing gas, with the fluorine ratio of the first gas higher than the fluorine ratio of the second gas.
    Type: Grant
    Filed: March 27, 2000
    Date of Patent: March 4, 2003
    Assignee: Applied Materials Inc.
    Inventors: Xikun Wang, Scott Williams, Shaoher X. Pan
  • Publication number: 20030036289
    Abstract: A SIMOX substrate having a buried oxide layer and a surface single crystal silicon layer formed therein is produced by a method which comprises implanting oxygen ions into a silicon single crystal substrate and subsequently performing a heat treatment at an elevated temperature on the substrate. The method is characterized by performing the former stage of the heat treatment at a temperature of not lower than 1150° C. and lower than the melting point of single crystal silicon in an atmosphere obtained by adding oxygen under a partial pressure of not more than 1% to an inert gas and subsequently performing at least part of the latter stage of the heat treatment by increasing the partial pressure of oxygen within a range in which no internal oxidation is suffered to occur in the buried oxide layer. It can also be prepared by performing the former stage of the high temperature heat treatment at a temperature of not lower than 1150° C.
    Type: Application
    Filed: September 9, 2002
    Publication date: February 20, 2003
    Inventors: Keisuke Kawamura, Atsuki Matsumura, Toshiyuki Mizutani
  • Patent number: 6514843
    Abstract: A method of enhancing the rate of transistor gate corner oxidation, without significantly increasing the thermal budget of the overall processing scheme is provided. Specifically, the method of the present invention includes implanting ions into gate corners of a Si-containing transistor, and exposing the transistor including implanted transistor gate corners to an oxidizing ambient. The ions employed in the implant step include Si; non-retarding oxidation ions such as O, Ge, As, B, P, In, Sb, Ga, F, Cl, He, Ar, Kr, and Xe; and mixtures thereof.
    Type: Grant
    Filed: April 27, 2001
    Date of Patent: February 4, 2003
    Assignee: International Business Machines Corporation
    Inventors: Omer Dokumaci, Oleg Gluschenkov, Suryanarayan G. Hegde, Richard Kaplan, Mukesh Khare
  • Patent number: 6514844
    Abstract: A method is provided, the method comprising forming a first conductive structure, and forming a first dielectric layer above the first conductive structure. The method also comprises densifying a portion of the first dielectric layer above at least a portion of the first conductive structure, and forming a first opening in the densified portion of the first dielectric layer.
    Type: Grant
    Filed: April 23, 2001
    Date of Patent: February 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jeremy I. Martin, Eric M. Apelgren, Christian Zistl, Paul R. Besser, Srikantewara Dakshina-Murthy, Jonathan B. Smith, Nick Kepler, Fred Cheung