Compound Semiconductor Substrate Patents (Class 438/779)
  • Patent number: 10886196
    Abstract: Semiconductor devices having a conductive via and methods of forming the same are described herein. As an example, a semiconductor devices may include a conductive via formed in a substrate material, a barrier material, a first dielectric material on the barrier material, a coupling material formed on the substrate material and on at least a portion of the dielectric material, a second dielectric material formed on the coupling material, and an interconnect formed on the conductive via.
    Type: Grant
    Filed: April 1, 2019
    Date of Patent: January 5, 2021
    Assignee: Micron Technology, Inc.
    Inventor: Jaspreet S. Gandhi
  • Patent number: 9905403
    Abstract: An oxide sintered body is obtained by mixing and sintering a zinc oxide, an indium oxide, a gallium oxide and a tin oxide. The oxide sintered body has a relative density of 85% or more, and has volume ratios satisfying the following expressions (1) to (3), respectively, as determined by X•ray diffractometry: (1) (Zn2SnO4 phase+InGaZnO4 phase)/(Zn2SnO4 phase+InGaZnO4 phase+In2O3 phase+SnO2 phase+(ZnO)mIn2O3, phase)?75% by volume; (2) Zn2SnO4 phase/(Zn2SnO4 phase+InGaZnO4 phase+In2O3 phase+SnO2 phase+(ZnO)mIn2O3 phase)?30% by volume; and (3) InGaZnO4 phase/(Zn2SnO4 phase+InGaZnO4 phase+In2O3 phase+SnO2 phase+(ZnO)mIn2O3 phase)?10% by volume, and m represents an integer of 2 to 5.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: February 27, 2018
    Assignee: KOBELCO RESEARCH INSTITUTE, INC.
    Inventors: Yuki Tao, Moriyoshi Kanamaru, Akira Nambu, Hideo Hata
  • Patent number: 9236243
    Abstract: A method for making semiconductor devices may include forming a phosphosilicate glass (PSG) layer on a semiconductor wafer, with the PSG layer having a phosphine residual surface portion. The method may further include exposing the phosphine residual surface portion to a reactant plasma to integrate at least some of the phosphine residual surface portion into the PSG layer. The method may additionally include forming a mask layer on the PSG layer after the exposing.
    Type: Grant
    Filed: January 9, 2014
    Date of Patent: January 12, 2016
    Assignee: STMICROELECTRONICS PTE LTD
    Inventor: ChongJieh Chew
  • Publication number: 20150140836
    Abstract: Methods and apparatus for processing using a remote plasma source are disclosed. The apparatus includes an outer chamber enclosing a substrate support, a remote plasma source, and a showerhead. A substrate heater can be mounted in the substrate support. A transport system moves the substrate support and is capable of positioning the substrate. Methods are disclosed that discuss the use of blocking species that bind to the surface of the dielectric and retard the etching of the dielectric surface by a doping/passivating species. The surface of the dielectric may be exposed to the blocking species a plurality of times during the process to ensure that the surface is well protected.
    Type: Application
    Filed: November 18, 2013
    Publication date: May 21, 2015
    Applicant: Intermolecular, Inc.
    Inventor: Sandip Niyogi
  • Publication number: 20150118834
    Abstract: The present invention includes methods directed to improved processes for producing a monolayer of sulfur or selenium on the surface of a semiconductor. As a surface layer, it functions to passivate the surface; if annealed, it provides a doping element.
    Type: Application
    Filed: October 27, 2014
    Publication date: April 30, 2015
    Applicant: Sematech, Inc.
    Inventors: Wei-Yip LOH, Robert TIECKELMANN
  • Patent number: 9012922
    Abstract: A substrate is provided with a main surface having an off angle of 5° or smaller relative to a reference plane. The reference plane is a {000-1} plane in the case of hexagonal system and is a {111} plane in the case of cubic system. A silicon carbide layer is epitaxially formed on the main surface of the substrate. The silicon carbide layer is provided with a trench having first and second side walls opposite to each other. Each of the first and second side walls includes a channel region. Further, each of the first and second side walls substantially includes one of a {0-33-8} plane and a {01-1-4} plane in the case of the hexagonal system and substantially includes a {100} plane in the case of the cubic system.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: April 21, 2015
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Toru Hiyoshi, Takeyoshi Masuda, Keiji Wada
  • Patent number: 9006064
    Abstract: A gate dielectric can be formed by depositing a first silicon oxide material by a first atomic layer deposition process. The thickness of the first silicon oxide material is selected to correspond to at least 10 deposition cycles of the first atomic layer deposition process. The first silicon oxide material is converted into a first silicon oxynitride material by a first plasma nitridation process. A second silicon oxide material is subsequently deposited by a second atomic layer deposition process. The second silicon oxide material is converted into a second silicon oxynitride material by a second plasma nitridation process. Multiple repetitions of the atomic layer deposition process and the plasma nitridation process provides a silicon oxynitride material having a ratio of nitrogen atoms to oxygen atoms greater than 1/3, which can be advantageously employed to reduce the leakage current through a gate dielectric.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: April 14, 2015
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Chudzik, Barry P. Linder, Shahab Siddiqui
  • Publication number: 20150091142
    Abstract: The present disclosure relates to a method (100) for depositing a layer on a III-V semiconductor substrate, in which this method comprises providing (102) a passivated III-V semiconductor substrate comprising a III-V semiconductor surface which has a surface passivation layer provided thereon for preventing oxidation of said III-V semiconductor surface. The surface passivation layer comprises a self-assembled monolayer material obtainable by the reaction on the surface of an organic compound of formula R-A, wherein A is selected from SH, SeH, TeH and SiX3. X is selected from H, Cl, O—CH3, O—C2H5, and O—C3H2, and R is a hydrocarbyl, fluorocarbyl or hydrofluorocarbyl comprising from 5 to 20 carbon atoms. The method further comprises thermally annealing (107) the III-V semiconductor substrate in a non-oxidizing environment such as to decompose the self-assembled monolayer material, and depositing (108) a layer on the III-V semiconductor surface in the non-oxidizing environment.
    Type: Application
    Filed: September 17, 2014
    Publication date: April 2, 2015
    Applicant: IMEC VZW
    Inventors: Christoph Adelmann, Silvia Armini
  • Patent number: 8987024
    Abstract: System for wafer-level phosphor deposition. In an aspect, a semiconductor wafer is provided that includes a plurality of LED dies wherein at least one die includes an electrical contact, a photo-resist post covering the electrical contact, and a phosphor deposition layer covering the semiconductor wafer and surrounding the photo-resist post. In another aspect, a semiconductor wafer is provided that comprises a plurality of LED dies wherein at least one die comprises an electrical contact, a phosphor deposition layer covering the semiconductor wafer, and a cavity in the phosphor deposition layer exposing the at least one electrical contact.
    Type: Grant
    Filed: June 6, 2013
    Date of Patent: March 24, 2015
    Assignee: Bridgelux, Inc
    Inventor: Tao Xu
  • Patent number: 8980648
    Abstract: The presently claimed invention provides a barium strontium titanate/strontium titanate/gallium arsenide (BST/STO/GaAs) heterostructure comprising a gallium arsenide (GaAs) substrate, at least one strontium titanate (STO) layer, and at least one barium strontium titanate (BST) layer. The BST/STO/GaAs heterostructure of the present invention has a good temperature stability, high dielectric constant and low dielectric loss, which enable to fabricate tunable ferroelectric devices. A method for fabricating the BST/STO/GaAs heterostructure is also disclosed in the present invention, which comprises formation of at least one STO layer on the GaAs substrate by a first laser molecular beam epitaxial system, and formation of at least one BST layer on the STO layer by a second laser molecular beam epitaxial system.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: March 17, 2015
    Assignee: The Hong Kong Polytechnic University
    Inventors: Jianhua Hao, Wen Huang, Zhibin Yang
  • Publication number: 20150014823
    Abstract: Described herein are low temperature processed high quality silicon containing films. Also disclosed are methods of forming silicon containing films at low temperatures. In one aspect, there are provided silicon-containing film having a thickness of about 2 nm to about 200 nm and a density of about 2.2 g/cm3 or greater wherein the silicon-containing thin film is deposited by a deposition process selected from a group consisting of chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), cyclic chemical vapor deposition (CCVD), plasma enhanced cyclic chemical vapor deposition (PECCVD, atomic layer deposition (ALD), and plasma enhanced atomic layer deposition (PEALD), and the vapor deposition is conducted at one or more temperatures ranging from about 25° C. to about 400° C. using an alkylsilane precursor selected from the group consisting of diethylsilane, triethylsilane, and combinations thereof.
    Type: Application
    Filed: March 8, 2013
    Publication date: January 15, 2015
    Inventors: Anupama Mallikarjunan, Andrew David Jihnson, Meiliang Wang, Raymond Nicholas Vrtis, Bing Han, Xinjian Lei, Mark Leonard O'Neill
  • Publication number: 20140367699
    Abstract: The method for fabricating a semiconductor device is to fabricate a semiconductor device including GaN (gallium nitride) that composes a semiconductor layer and includes a step of forming a gate insulating film. In the step, at least one film selected from the group consisting of a SiO2 film and an Al2O3 film is formed on a nitride layer containing GaN by using microwave plasma and the formed film is used as at least a part of the gate insulating film.
    Type: Application
    Filed: September 2, 2014
    Publication date: December 18, 2014
    Applicants: TOHOKU UNIVERSITY, FUJI ELECTRIC CO., LTD., TOKYO ELECTRON LIMITED
    Inventors: Akinobu TERAMOTO, Hiroshi KAMBAYASHI, Hirokazu UEDA, Yuichiro MOROZUMI, Katsushige HARADA, Kazuhide HASEBE, Tadahiro OHMI
  • Patent number: 8847280
    Abstract: An improved insulated gate field effect device is obtained by providing a substrate desirably comprising a III-V semiconductor, having a further semiconductor layer on the substrate adapted to contain the channel of the device between spaced apart source-drain electrodes formed on the semiconductor layer. A dielectric layer is formed on the semiconductor layer. A sealing layer is formed on the dielectric layer and exposed to an oxygen plasma. A gate electrode is formed on the dielectric layer between the source-drain electrodes. The dielectric layer preferably comprises gallium-oxide and/or gadolinium-gallium oxide, and the oxygen plasma is preferably an inductively coupled plasma. A further sealing layer of, for example, silicon nitride is desirably provided above the sealing layer. Surface states and gate dielectric traps that otherwise adversely affect leakage and channel sheet resistance are much reduced.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: September 30, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jonathan K. Abrokwah, Ravindranath Droopad, Matthias Passlack
  • Patent number: 8815625
    Abstract: A pressure sensor having a structure, which includes a supporting body, a circuit arrangement and at least one circuit support. The circuit arrangement includes circuit components, amongst which detection means for generating electrical signals representing a quantity to be detected. The at least one circuit support is connected to the supporting body and has a surface, formed on which is a plurality of said circuit components, amongst which electrically conductive paths, where the circuit support is laminated on the first face of the supporting body.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: August 26, 2014
    Assignee: Metallux SA
    Inventor: Massimo Monichino
  • Patent number: 8772139
    Abstract: A method of manufacturing a MOSFET includes the steps of preparing a silicon carbide substrate, forming an active layer on the silicon carbide substrate, forming a gate oxide film on the active layer, forming a gate electrode on the gate oxide film, forming a source contact electrode on the active layer, and forming a source interconnection on the source contact electrode. The step of forming the source interconnection includes the steps of forming a conductor film on the source contact electrode and processing the conductor film by etching the conductor film with reactive ion etching. Then, the method of manufacturing a MOSFET further includes the step of performing annealing of heating the silicon carbide substrate to a temperature not lower than 50° C. after the step of processing the conductor film.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: July 8, 2014
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Toru Hiyoshi, Takeyoshi Masuda
  • Patent number: 8759233
    Abstract: A method for fabricating a semiconductor device includes forming a metal layer on a substrate, forming a plurality of layers of a magnetic tunnel junction (MTJ) element on the metal layer, forming a carbon layer including a hole, wherein the hole penetrates through the carbon layer, forming a metal pattern in the hole of the carbon layer, removing the carbon layer; and patterning the plurality of layers of the MTJ element using the metal pattern as an etching mask.
    Type: Grant
    Filed: June 21, 2012
    Date of Patent: June 24, 2014
    Assignee: Hynix Semiconductor Inc.
    Inventor: Sang Hoon Cho
  • Patent number: 8753985
    Abstract: Molecular layer deposition of silicon carbide is described. A deposition precursor includes a precursor molecule which contains silicon, carbon and hydrogen. Exposure of a surface to the precursor molecule results in self-limited growth of a single layer. Though the growth is self-limited, the thickness deposited during each cycle of molecular layer deposition involves multiple “atomic” layers and so each cycle may deposit thicknesses greater than typically found during atomic layer depositions. Precursor effluents are removed from the substrate processing region and then the surface is irradiated before exposing the layer to the deposition precursor again.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: June 17, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Brian Underwood, Abhijit Basu Mallick, Nitin K. Ingle
  • Patent number: 8748274
    Abstract: A method for fabricating a semiconductor device includes: forming a GaN-based semiconductor layer on a substrate; forming a gate insulating film of aluminum oxide on the GaN-based semiconductor layer at a temperature equal to or lower than 450° C.; forming a protection film on an upper surface of the gate insulating film; performing a process with an alkaline solution in a state in which the upper surface of the gate insulating film is covered with the protection film; and forming a gate electrode on the gate insulating film.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: June 10, 2014
    Assignee: Sumitomo Electric Device Innovations, Inc.
    Inventors: Ken Nakata, Seiji Yaegashi
  • Publication number: 20140145211
    Abstract: Methods, systems, and devices are disclosed for implementing high power circuits and semiconductor devices. In one aspect, a method for fabricating a silicon carbide (SiC) device includes forming a thin layer of a protection material over a SiC substrate, in which the protection material has a lattice constant that substantially matches a lattice constant of SiC and the thin layer has a thickness of less than a critical layer thickness for the protection material over SiC to form a uniform interface between the protection material and SiC, forming a layer of an insulator material over the thin layer of the protection material, and forming one or more transistor structures over the insulator material.
    Type: Application
    Filed: November 26, 2013
    Publication date: May 29, 2014
    Applicant: GLOBAL POWER DEVICES COMPANY
    Inventor: Utpal K. Chakrabarti
  • Publication number: 20140138708
    Abstract: A method of manufacturing a semiconductor device includes the steps of forming a silicon oxide film on a silicon carbide substrate, annealing the silicon carbide substrate and the silicon oxide film in gas containing hydrogen, and forming an aluminum oxynitride film on the silicon oxide film after the annealing of the silicon carbide substrate and the silicon oxide film.
    Type: Application
    Filed: January 7, 2014
    Publication date: May 22, 2014
    Applicant: ROHM CO., LTD.
    Inventors: Shuhei MITANI, Yuki NAKANO, Heiji WATANABE, Takayoshi SHIMURA, Takuji HOSOI, Takashi KIRINO
  • Publication number: 20140065842
    Abstract: Described are apparatus and methods for forming tantalum silicate layers on germanium or III-V materials. Such tantalum silicate layers may have Si/(Ta+Si) atomic ratios from about 0.01 to about 0.15. The tantalum silicate layers may be formed by atomic layer deposition of silicon oxide and tantalum oxide, followed by interdiffusion of the silicon oxide and tantalum oxide layers.
    Type: Application
    Filed: August 27, 2013
    Publication date: March 6, 2014
    Inventors: Jeffrey W. Anthis, Khaled Z. Ahmed
  • Patent number: 8623750
    Abstract: A film of silicon dioxide is formed on the silicon-germanium layer, and a high dielectric constant film is further formed on the film of silicon dioxide. First irradiation from a flash lamp is performed on the semiconductor wafer to increase the temperature of a front surface of the semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 3 milliseconds to 1 second. Subsequently, second irradiation from the flash lamp is performed to maintain the temperature of the front surface of the semiconductor wafer within a ±25° C. range around the target temperature for a time period in the range of 3 milliseconds to 1 second. This promotes the crystallization of the high dielectric constant film while suppressing the alleviation of distortion in the silicon-germanium layer.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: January 7, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kazuhiko Fuse, Shinichi Kato
  • Patent number: 8575033
    Abstract: Provided are processes for the low temperature deposition of silicon-containing films using carbosilane precursors containing a carbon atom bridging at least two silicon atoms. Certain methods comprise providing a substrate; in a PECVD process, exposing the substrate surface to a carbosilane precursor containing at least one carbon atom bridging at least two silicon atoms; exposing the carbosilane precursor to a low-powered energy sourcedirect plasma to provide a carbosilane at the substrate surface; and densifying the carbosilanestripping away at least some of the hydrogen atoms to provide a film comprising SiC. The SiC film may be exposed to the carbosilane surface to a nitrogen source to provide a film comprising SiCN.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: November 5, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weldman, Todd Schroeder
  • Publication number: 20130264576
    Abstract: A semiconductor device, in which the generation of interface states in the interface region between a nitride semiconductor layer and an aluminum oxide layer is suppressed, includes a first nitride semiconductor layer and an aluminum oxide layer. The first nitride semiconductor layer includes Ga. The aluminum oxide layer directly contacts the upper surface of the first nitride semiconductor layer, and includes H (hydrogen) atoms at least within a defined region from the interface with the first nitride semiconductor layer. In addition, the peak value of an H atom concentration in the above region is in a range of 1×1020 cm?3 to 5×1021 cm?3.
    Type: Application
    Filed: March 18, 2013
    Publication date: October 10, 2013
    Applicant: Renesas Electronics Corporation
    Inventor: Takashi Onizawa
  • Patent number: 8524614
    Abstract: The present disclosure reduces and, in some instances, eliminates the density of interface states in III-V compound semiconductor materials by providing a thin crystalline interlayer onto an upper surface of a single crystal III-V compound semiconductor material layer to protect the crystallinity of the single crystal III-V compound semiconductor material layer's surface atoms prior to further processing of the structure.
    Type: Grant
    Filed: November 29, 2010
    Date of Patent: September 3, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kuen-Ting Shiu, Dechao Guo, Shu-Jen Han, Edward W. Kiewra, Masaharu Kobayashi
  • Publication number: 20130214331
    Abstract: A method for treating a compound semiconductor substrate, in which method in vacuum conditions a surface of an In-containing III-As, III-Sb or III-P substrate is cleaned from amorphous native oxides and after that the cleaned substrate is heated to a temperature of about 250-550° C. and oxidized by introducing oxygen gas onto the surface of the substrate. The invention relates also to a compound semiconductor substrate, and the use of the substrate in a structure of a transistor such as MOSFET.
    Type: Application
    Filed: November 8, 2011
    Publication date: August 22, 2013
    Applicant: TURUN YLIOPISTO
    Inventors: Pekka Laukkanen, Jouko Lang, Marko Punkkinen, Marjukka Tuominen, Veikko Tuominen, Johnny Dahl, Juhani Vayrynen
  • Patent number: 8501635
    Abstract: A method of growing single crystal III-N material on a semiconductor substrate includes providing a substrate including one of crystalline silicon or germanium and a layer of rare earth oxide. A layer of single crystal III-N material is epitaxially grown on the substrate using a process that elevates the temperature of the layer of rare earth oxide into a range of approximately 750° C. to approximately 1250° C. in the presence of an N or a III containing species, whereby a portion of the layer of rare earth oxide is transformed to a new alloy.
    Type: Grant
    Filed: September 29, 2012
    Date of Patent: August 6, 2013
    Assignee: Translucent, Inc.
    Inventors: Andrew Clark, Robin Smith, Rytis Dargis, Erdem Arkun, Michael Lebby
  • Patent number: 8497191
    Abstract: A semiconductor device in which selectivity in epitaxial growth is improved. There is provided a semiconductor device comprising a gate electrode formed over an Si substrate, which is a semiconductor substrate, with a gate insulating film therebetween and an insulating layer formed over sides of the gate electrode and containing a halogen element. With this semiconductor device, a silicon nitride film which contains the halogen element is formed over the sides of the gate electrode when an SiGe layer is formed over the Si substrate. Therefore, the SiGe layer epitaxial-grows over the Si substrate with high selectivity. As a result, an OFF-state leakage current which flows between, for example, the gate electrode and source/drain regions is suppressed and a manufacturing process suitable for actual mass production is established.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: July 30, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Masahiro Fukuda, Yosuke Shimamune, Masaaki Koizuka, Katsuaki Ookoshi
  • Patent number: 8470699
    Abstract: Disclosed is a method of manufacturing a silicon carbide semiconductor apparatus which provides a smooth silicon carbide surface while maintaining a high impurity activation ratio. The method of manufacturing a silicon carbide semiconductor apparatus which forms an impurity region in the surface layer of a silicon carbide substrate includes the steps of implanting an impurity into the surface layer of the silicon carbide substrate, forming a carbon film on the surface of the silicon carbide substrate, preliminarily heating the silicon carbide substrate with the carbon film as a protective film, and thermally activating the silicon carbide substrate with the carbon film as a protective film.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: June 25, 2013
    Assignee: Showa Denko K.K.
    Inventor: Kenji Suzuki
  • Patent number: 8466029
    Abstract: An AlN layer (2), a GaN buffer layer (3), a non-doped AlGaN layer (4a), an n-type AlGaN layer (4b), an n-type GaN layer (5), a non-doped AlN layer (6) and an SiN layer (7) are sequentially formed on an SiC substrate (1). At least three openings are formed in the non-doped AlN layer (6) and the SiN layer (7), and a source electrode (8a), a drain electrode (8b) and a gate electrode (19) are evaporated in these openings.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: June 18, 2013
    Assignee: Fujitsu Limited
    Inventor: Toshihide Kikkawa
  • Patent number: 8455371
    Abstract: Disclosed is a sputtering target having a good appearance, which is free from white spots on the surface. The sputtering target is characterized by being composed of an oxide sintered body containing two or more kinds of homologous crystal structures.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: June 4, 2013
    Assignee: Idemitsu Kosan Co., Ltd.
    Inventors: Koki Yano, Hirokazu Kawashima, Kazuyoshi Inoue
  • Patent number: 8455372
    Abstract: The present invention belongs to the technical field of semiconductor materials and specifically relates to a method for cleaning and passivizing gallium arsenide (GaAs) surface autologous oxide and depositing an Al2O3 dielectric. This method includes: use a new-type of sulfur passivant to react with the autologous oxide on the GaAs surface to clean it and generate a passive sulfide film to separate the GaAs from the outside environment, thus preventing the GaAs from oxidizing again; further cleaning the residuals such as autologous oxides and sulfides on the GaAs surface through the pretreatment reaction of the reaction source trimethyl aluminum (TMA) of the Al2O3 ALD with the GaAs surface, and then deposit high-quality Al2O3 dielectric through ALD as the gate dielectric which fully separates the GaAs from the outside environment. The present invention features a simple process and good effects, and can provide preconditions for manufacturing the GaAs devices.
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: June 4, 2013
    Assignee: Fudan University
    Inventors: Qingqing Sun, Runchen Fang, Wen Yang, Pengfei Wang, Wei Zhang
  • Patent number: 8450813
    Abstract: There is provided a fin transistor structure and a method of fabricating the same. The fin transistor structure comprises a fin formed on a semiconductor substrate, wherein a bulk semiconductor material is formed between a portion of the fin serving as the channel region of the transistor structure and the substrate, and an insulation material is formed between remaining portions of the fin and the substrate. Thereby, it is possible to reduce the current leakage while maintaining the advantages of body-tied structures.
    Type: Grant
    Filed: June 25, 2010
    Date of Patent: May 28, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Zhijiong Luo, Haizhou Yin, Huilong Zhu
  • Patent number: 8445973
    Abstract: There is provided a fin transistor structure and a method of fabricating the same. The fin transistor structure comprises a fin formed on a semiconductor substrate, wherein an insulation material is formed between a portion of the fin serving as the channel region of the transistor structure and the substrate, and a bulk semiconductor material is formed between remaining portions of the fin and the substrate. Thereby, it is possible to reduce the current leakage while maintaining the advantages such as low cost and high heat transfer.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: May 21, 2013
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Zhijiong Luo, Huilong Zhu, Haizhou Yin
  • Patent number: 8440571
    Abstract: Methods for deposition of silicon carbide films on a substrate surface are provided. The methods include the use of vapor phase carbosilane precursors and may employ plasma enhanced atomic layer deposition processes. The methods may be carried out at temperatures less than 600° C., for example between about 23° C. and about 200° C. or at about 100° C. This silicon carbide layer may then be densified to remove hydrogen content. Additionally, the silicon carbide layer may be exposed to a nitrogen source to provide reactive N—H groups, which can then be used to continue film deposition using other methods. Plasma processing conditions can be used to adjust the carbon, hydrogen and/or nitrogen content of the films.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: May 14, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Timothy W. Weidman, Todd Schroeder
  • Patent number: 8420551
    Abstract: Example methods and example embodiments include methods of fabricating semiconductor devices and semiconductor devices fabricated by the same. Example fabricating methods include forming a first nanowire, oxidizing the first nanowire to form a first nanostructure including a first insulator and a second nanowire, and oxidizing the second nanowire to form a second nanostructure including a second insulator and nanodots. Example semiconductor devices include nanostructures including nanodots and nanostructures providing storage nodes in memory devices.
    Type: Grant
    Filed: December 6, 2010
    Date of Patent: April 16, 2013
    Assignees: Samsung Electronics Co., Ltd., Industry-Academic Cooperation Foundation
    Inventors: Myung-Jong Kim, In-Seok Yeo, Dae-Hong Ko, Hyun-Chul Sohn, Mann-Ho Cho, Sang-Yeon Kim
  • Patent number: 8415249
    Abstract: A method of manufacturing a semiconductor device includes: forming a lower electrode layer in contact with a surface of a nitride semiconductor layer; forming an Al layer on the lower electrode layer; performing a heat treatment after the formation of the Al layer; removing the Al layer after the heat treatment is performed; and forming an upper electrode layer on the lower electrode layer after the removal of the Al layer.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: April 9, 2013
    Assignee: Sumitomo Electric Device Innovations, Inc.
    Inventor: Masahiro Nishi
  • Patent number: 8349629
    Abstract: A semiconductor light-emitting element includes a first semiconductor layer having a first conduction type, a second semiconductor layer having a second conduction type, an active layer provided between the first and second semiconductor layers, a polarity inversion layer provided on the second semiconductor layer, and a third semiconductor layer having the second conduction type provided on the polarity inversion layer. Crystal orientations of the first through third semiconductor layers are inverted, with the polarity inversion layer serving as a boundary. The first and third semiconductor layers have uppermost surfaces made from polar faces having common constitutional elements. Hexagonal conical protrusions arising from a crystal structure are formed at outermost surfaces of the first and third semiconductor layers. The first through third semiconductor layers are made from a wurtzite-structure group III nitride semiconductor, and are layered along a C-axis direction of the crystal structure.
    Type: Grant
    Filed: September 2, 2009
    Date of Patent: January 8, 2013
    Assignee: Stanley Electric Co., Ltd.
    Inventors: Yusuke Yokobayashi, Satoshi Tanaka, Masahiko Moteki
  • Patent number: 8318612
    Abstract: The invention provides methods which can be applied during the epitaxial growth of two or more layers of Group III-nitride semiconductor materials so that the qualities of successive layer are successively improved. In preferred embodiments, surface defects interact with a protective layer of a protective material to form amorphous complex regions capable of preventing the further propagation of defects and dislocations. The invention also includes semiconductor structures fabricated by these methods.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: November 27, 2012
    Assignees: Soitec, Arizona Board of Regents for and on behalf of Arizona State University
    Inventors: Chantal Arena, Subhash Mahajan
  • Patent number: 8244482
    Abstract: A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: August 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventor: Jose I. Arno
  • Patent number: 8227346
    Abstract: Disclosed is a producing method of a semiconductor device comprising a first step of supplying a first reactant to a substrate to cause a ligand-exchange reaction between a ligand of the first reactant and a ligand as a reactive site existing on a surface of the substrate, a second step of removing a surplus of the first reactant, a third step of supplying a second reactant to the substrate to cause a ligand-exchange reaction to change the ligand after the exchange in the first step into a reactive site, a fourth step of removing a surplus of the second reactant, and a fifth step of supplying a plasma-excited third reactant to the substrate to cause a ligand-exchange reaction to exchange a ligand which has not been exchange-reacted into the reactive site in the third step into the reactive site, wherein the first to fifth steps are repeated predetermined times.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: July 24, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Kazuyuki Toyoda, Norikazu Mizuno, Taketoshi Sato, Masanori Sakai, Masayuki Asai, Kazuyuki Okuda, Hideki Horita
  • Patent number: 8211760
    Abstract: A method of fabricating a semiconductor device is disclosed. The method comprises patterning a photoresist over a compound semiconductor substrate; reducing a width of the photoresist; forming a hardmask over the substrate and not over the photoresist; removing the photoresist; etching to form and opening down to the substrate; forming a gate in the opening; and removing the hardmask except beneath the gate.
    Type: Grant
    Filed: April 12, 2011
    Date of Patent: July 3, 2012
    Assignee: Avago Technologies Wireless IP (Singapore) Pte. Ltd.
    Inventors: Nathan Ray Perkins, Timothy Arthur Valade, Albert William Wang
  • Patent number: 8206788
    Abstract: In the manufacture of electronic devices that use porous dielectric materials, the properties of the dielectric in a pristine state can be altered by various processing steps. In a method for restoring and preserving the pristine properties of a porous dielectric layer, a substrate is provided with a layer of processed porous dielectric on top, whereby the processed porous dielectric is at least partially exposed. A thin aqueous film is formed at least on the exposed parts of the processed porous dielectric. The exposed porous dielectric with the aqueous film is exposed to an ambient containing a mixture comprising at least one silylation agent and dense CO2, resulting in the restoration and preservation of the pristine properties of the porous dielectric.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: June 26, 2012
    Assignee: IMEC
    Inventors: Fabrice Sinapi, Jan Alfons B. Van Hoeymissen
  • Patent number: 8193102
    Abstract: A method of assembling composite structures from objects in fluid includes providing a plurality of objects, each having a preselected size, shape, and spatial distribution of surface structural features characterizing a surface roughness; dispersing the objects into the fluid; and introducing a depletion agent. The depletion agent includes a plurality of particles having a size distribution preselected causing an attractive force arising from a depletion attraction between at least a first object and second object of the plurality in at least one relative position and orientation based on the preselected spatial distribution of surface structural features on the first and second objects, and the depletion attraction between the first and second objects forms at least one rigid bond or slippery bond at or proximate to respective surface portions based on the preselected spatial distribution of surface structural features on the first and second objects to form a two-object composite structure.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: June 5, 2012
    Assignee: The Regents of the University of California
    Inventors: Thomas G. Mason, Kun Zhao
  • Patent number: 8158465
    Abstract: A “vertical” coffee-stain method for producing self-organized line structures and other very fine features that involves disposing a target structure in a solution made up of a fine particle solute dispersed in a liquid solvent such that a “waterline” is formed by the upper (liquid/air) surface of the solution on a targeted linear surface region of the substrate. The solvent is then caused to evaporate at a predetermined rate such that a portion of the solute forms a self-organized “coffee-stain” line structure on the straight-line portion of the substrate surface contacted by the receding waterline. The substrate and staining solution are selected such that the liquid solvent has a stronger attraction to the substrate surface than to itself to produce the required pinning and upward curving waterline. The target structure is optionally periodically raised to generate parallel lines that are subsequently processed to form, e.g., TFTs for large-area electronic devices.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: April 17, 2012
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Sanjiv Sambandan, Robert A. Street, Ana Claudia Arias
  • Patent number: 8148241
    Abstract: One embodiment of depositing a gallium nitride (GaN) film on a substrate comprises providing a source of indium (In) and gallium (Ga) and depositing a monolayer of indium (In) on the surface of the gallium nitride (GaN) film. The monolayer of indium (In) acts as a surfactant to modify the surface energy and facilitate the epitaxial growth of the film by suppressing three dimensional growth and enhancing or facilitating two dimensional growth. The deposition temperature is kept sufficiently high to enable the indium (In) to undergo absorption and desorption on the gallium nitride (GaN) film without being incorporated into the solid phase gallium nitride (GaN) film. The gallium (Ga) and indium (In) can be provided by a single source or separate sources.
    Type: Grant
    Filed: July 23, 2010
    Date of Patent: April 3, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Jie Su, Olga Kryliouk
  • Publication number: 20120028475
    Abstract: A method for fabricating a semiconductor device including performing oxygen plasma treatment to a surface of a nitride semiconductor layer, a power density of the oxygen plasma treatment being 0.2 to 0.3 W/cm2.
    Type: Application
    Filed: July 28, 2011
    Publication date: February 2, 2012
    Applicant: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventor: Masahiro Nishi
  • Patent number: 8105957
    Abstract: Disclosed is a producing method of a semiconductor device comprising a first step of supplying a first reactant to a substrate to cause a ligand-exchange reaction between a ligand of the first reactant and a ligand as a reactive site existing on a surface of the substrate, a second step of removing a surplus of the first reactant, a third step of supplying a second reactant to the substrate to cause a ligand-exchange reaction to change the ligand after the exchange in the first step into a reactive site, a fourth step of removing a surplus of the second reactant, and a fifth step of supplying a plasma-excited third reactant to the substrate to cause a ligand-exchange reaction to exchange a ligand which has not been exchange-reacted into the reactive site in the third step into the reactive site, wherein the first to fifth steps are repeated predetermined times.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: January 31, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Kazuyuki Toyoda, Taketoshi Sato, Masayuki Asai, Norikazu Mizuno, Masanori Sakai, Kazuyuki Okuda, Hideki Horita
  • Patent number: 8088922
    Abstract: Dibenzorylenetetracarboximides of the general formula I in which the variables are each defined as follows: R? are identical or different radicals: hydrogen; optionally substituted aryloxy, arylthio, hetaryloxy or hetarylthio; R are identical or different radicals: hydrogen; optionally substituted C1-C30-alkyl, C3-C8-cycloalkyl, aryl or hetaryl; m, n are each independently 0 or 1.
    Type: Grant
    Filed: May 29, 2007
    Date of Patent: January 3, 2012
    Assignees: BASF Aktiengesellschaft, Max-Planck-Gesellschaft zur Foerderung der Wissenschaften e.V.
    Inventors: Martin Koenemann, Arno Boehm, Yuri Avlasevic, Klaus Muellen
  • Patent number: 8039404
    Abstract: A production method for a semiconductor device comprising the first step of supplying a first reaction material to a substrate housed in a processing chamber to subject to a ligand substitution reaction a ligand as a reaction site existing on the surface of the substrate and the ligand of the first reaction material, the second step of removing the excessive first reaction material from the processing chamber, the third step of supplying a second reaction material to the substrate to subject a ligand substituted by the first step to a ligand substitution reaction with respect to a reaction site, the fourth step of removing the excessive second reaction material from the processing chamber, and a fifth step of supplying a third reaction material excited by plasma to the substrate to subject a ligand, not subjected to a substitution reaction with respect to a reaction site in the third step, to a ligand substitution reaction with respect to a reaction site, wherein the steps 1-5 are repeated a specified number
    Type: Grant
    Filed: May 27, 2010
    Date of Patent: October 18, 2011
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Kazuyuki Toyoda, Norikazu Mizuno, Taketoshi Sato, Masanori Sakai, Masayuki Asai, Kazuyuki Okuda, Hideki Horita