Organic Reactant Patents (Class 438/789)
  • Publication number: 20070178690
    Abstract: By using a patterned sacrificial layer for forming highly conductive metal regions, the formation of a reliable conductive barrier layer may be accomplished prior to the actual deposition of a low-k dielectric material. Hence, even highly porous dielectrics may be used in combination with highly conductive metals, substantially without compromising the diffusion characteristics and the electromigration performance. Hence, metallization layers for highly scaled semiconductor devices having critical dimensions of 50 nm and significantly less may be provided.
    Type: Application
    Filed: October 4, 2006
    Publication date: August 2, 2007
    Inventors: Markus Nopper, Udo Nothelfer, Axel Preusse
  • Patent number: 7250379
    Abstract: In a method of forming a metal oxide, an organic metal compound represented by the following chemical formula is introduced into a chamber to chemisorb the organic metal compound onto a substrate, M[L1]x[L2]y where M represents a metal, L1 and L2 respectively represents a first and second ligands. In addition, x and y are independently integers and a value of (x+y) is 3 to 5. An oxygen-containing compound is introduced into the chamber to form the metal oxide. The metal oxide is formed by reacting an oxygen of the oxygen-containing compound with the metal, and separating the ligand from the metal. Thus, the metal oxide having a superior step coverage and a high dielectric constant may be formed using the organic metal compound by an atomic layer deposition process.
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: July 31, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jung-Ho Lee, Jung-Sik Choi, Jun-Hyun Cho, Sang-Mun Chon
  • Patent number: 7241707
    Abstract: Multiple-layer films in integrated circuit processing may be formed by the phase segregation of a single composition formed above a semiconductor substrate. The composition is then induced to phase segregate into at least a first continuous phase and a second continuous phase. The composition may be formed of two or more components that phase segregate into different continuous layers. The composition may also be a single component that breaks down upon activation into two or more components that phase segregate into different continuous layers. Phase segregation may be used to form, for example, a sacrificial light absorbing material (SLAM) and a developer resistant skin, a dielectric layer and a hard mask, a photoresist and an anti-reflective coating (ARC), a stress buffer coating and a protective layer on a substrate package, and light interference layers.
    Type: Grant
    Filed: February 17, 2005
    Date of Patent: July 10, 2007
    Assignee: Intel Corporation
    Inventors: Robert P. Meagley, Michael J. Leeson, Michael D. Goodner, Bob E. Leet, Michael L. McSwiney, Shan C. Clark
  • Patent number: 7241704
    Abstract: Methods of preparing a low stress porous low-k dielectric material on a substrate are provided. The methods involve the use of a structure former precursor and/or porogen precursor with one or more organic functional groups. In some cases, the structure former precursor has carbon-carbon double or triple bonds. In other cases, one or both of the structure former precursor and porogen precursor has one or more bulky organic groups. In other cases, the structure former precursor has carbon-carbon double or triple bonds and one or both of the structure former precursor and porogen precursor has one or more bulky organic groups. Once the precursor film is formed, the porogen is removed, leaving a porous low-k dielectric matrix with high mechanical strength. Different types of structure former precursors and porogen precursors are described. The resulting low stress low-k porous film may be used as a low-k dielectric film in integrated circuit manufacturing applications.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: July 10, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Qingguo Wu, Haiying Fu, David C. Smith, David Mordo
  • Patent number: 7238629
    Abstract: The present invention relates to a deposition method of a low dielectric constant insulating film, which comprises the steps of generating a first deposition gas containing at least one silicon source selecting from the group consisting of silicon containing organic compound having siloxane bond and silicon containing organic compound having CH3 group, and an oxidizing agent consisting of oxygen containing organic compound having alkoxyl group (OR: O is oxygen and R is CH3 or C2H5), and applying electric power to the first deposition gas to generate plasma and then causing reaction to form a low dielectric constant insulating film on a substrate.
    Type: Grant
    Filed: June 15, 2004
    Date of Patent: July 3, 2007
    Assignee: Semiconductor Process Laboratory Co., Ltd.
    Inventors: Yoshimi Shioya, Kazuo Maeda
  • Patent number: 7229935
    Abstract: A method for forming a thin film includes: supplying an additive gas, a dilution gas, and a silicon-containing source gas into a reaction chamber wherein a substrate is placed; forming a thin film on the substrate by plasma CVD under a given pressure with a given intensity of radio-frequency (RF) power from a first point in time to a second point in time; at the second point in time, stopping the supply of the silicon-containing source gas; and at the second point in time, beginning reducing but not stopping the RF power, and beginning reducing the pressure, wherein the reduction of the RF power and the reduction of the pressure are synchronized up to a third point in time.
    Type: Grant
    Filed: September 2, 2004
    Date of Patent: June 12, 2007
    Assignee: ASM Japan K.K.
    Inventors: Atsuki Fukazawa, Kenichi Kagami, Manabu Kato
  • Patent number: 7208408
    Abstract: A hole is formed in an insulating film containing silicon and carbon. The insulating film has a density or a carbon concentration varying gradually in the direction of the thickness thereof.
    Type: Grant
    Filed: June 24, 2005
    Date of Patent: April 24, 2007
    Assignees: Matsushita Electric Industrial Co., Ltd., Mitsubishi Denki Kabushiki Kaisha
    Inventors: Hiroshi Yuasa, Tetsuo Satake, Masazumi Matsuura, Kinya Goto
  • Patent number: 7196020
    Abstract: A process for PECVD of selected material films on a substrate comprising the steps of placing a substrate in a PECVD chamber and maintaining the chamber under vacuum pressure while introducing a precursor gas, a reactant gas, and an ionization enhancer agent into the chamber. A plasma is generated from the gases within the chamber. The energy generating the plasma causes the formation of charged species. The resulting charged species of the ionization enhancer agent assists in the formation of chemically reactive species of at least the precursor.
    Type: Grant
    Filed: April 3, 2001
    Date of Patent: March 27, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Sujit Sharan, Gurtej S. Sandhu
  • Patent number: 7189658
    Abstract: A method of processing a substrate including depositing a transition layer and a dielectric layer on a substrate in a processing chamber are provided. The transition layer is deposited from a processing gas including an organosilicon compound and an oxidizing gas. The flow rate of the organosilicon compound is ramped up during the deposition of the transition layer such that the transition layer has a carbon concentration gradient and an oxygen concentration gradient. The transition layer improves the adhesion of the dielectric layer to an underlying barrier layer on the substrate.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: March 13, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Annamalai Lakshmanan, Deenesh Padhi, Ganesh Balasubramanian, Zhenjiang David Cui, Daemian Raj, Juan Carlos Rocha-Alvarez, Francimar Schmitt, Bok Hoen Kim
  • Patent number: 7189664
    Abstract: A method for producing hydrogenated silicon oxycarbide (H:SiOC) films having low dielectric constant. The method comprises using plasma-assisted polymerization to react a cyclic silane compound containing at least one strained silicon bond to produce the films. The resulting films are useful in the formation of semiconductor devices.
    Type: Grant
    Filed: January 26, 2004
    Date of Patent: March 13, 2007
    Assignee: Dow Corning Corporation
    Inventors: Mark Jon Loboda, Byung Keun Hwang
  • Patent number: 7175966
    Abstract: A multilayer lithographic structure which includes a substrate, having on a major surface thereof a first layer including a water and/or aqueous base soluble material which includes Ge, O, and H, and optionally X, wherein X is at least one of Si, N, and F; and disposed on the first layer a second layer which includes an energy photoactive material.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: February 13, 2007
    Assignee: International Business Machines Corporation
    Inventors: Katherina E Babich, Alfred Grill, Arpan P Mahorowala, Dirk P Pfeiffer
  • Patent number: 7166542
    Abstract: A method of fabricating a passivation layer is provided. A substrate with a plurality of device structures and at least an interconnect thereon is provided. A patterned metallic layer is formed over the interconnection layer. A plasma-enhanced chemical vapor deposition process is performed to form a first passivation over the metallic layer such that the processing pressure is higher (and/or the processing power is lower) than the pressure (the power) used in prior art. A moisture impermeable second passivation is formed over the first passivation layer. With the first passivation formed in a higher processing pressure (and/or lower processing power), damages to metallic layers or devices due to plasma bombardment is minimized.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: January 23, 2007
    Assignee: Nanya Technology Corporation
    Inventors: Ming-Hung Lo, Liang-Pin Chou, Chun-Ming Wang, Li-Fu Chen
  • Patent number: 7163721
    Abstract: A method for protecting an organic polymer underlayer during a plasma assisted process of depositing a subsequent film on the organic polymer underlayer is disclosed. The method provides the deposition of a protective continuous layer using organic polymer damage-free technique in order to not damage the organic polymer underlayer and to protect the organic polymer underlayer during the plasma assisted process of depositing a subsequent film. The organic polymer damage-free technique is a non-plasma process, using only thermal energy and chemical reactions to deposit the continuous layer. The organic polymer damage-free technique can also be a plasma assisted process using a reduced plasma power low enough in order to not damage the organic polymer underlayer.
    Type: Grant
    Filed: February 4, 2003
    Date of Patent: January 16, 2007
    Assignee: Tegal Corporation
    Inventors: Zhihong Zhang, Tai Dung Nguyen, Tue Nguyen
  • Patent number: 7160821
    Abstract: A silicon oxide layer is produced by plasma enhanced decomposition of an organosilicon compound to deposit films having a carbon content of at least 1% by atomic weight. An optional carrier gas may be introduced to facilitate the deposition process at a flow rate less than or equal to the flow rate of the organosilicon compounds. An oxygen rich surface may be formed adjacent the silicon oxide layer by temporarily increasing oxidation of the organosilicon compound.
    Type: Grant
    Filed: January 27, 2004
    Date of Patent: January 9, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Tzu-Fang Huang, Yung-Cheng Lu, Li-Qun Xia, Ellie Yieh, Wai-Fan Yau, David W. Cheung, Ralf B. Willecke, Kuowei Liu, Ju-Hyung Lee, Farhad K. Moghadam, Yeming Jim Ma
  • Patent number: 7157384
    Abstract: Methods are provided for depositing a silicon carbide layer having significantly reduced current leakage. The silicon carbide layer may be a barrier layer or part of a barrier bilayer that also includes a barrier layer. Methods for depositing oxygen-doped silicon carbide barrier layers are also provided. The silicon carbide layer may be deposited by reacting a gas mixture comprising an organosilicon compound, an aliphatic hydrocarbon comprising a carbon-carbon double bond or a carbon-carbon triple bond, and optionally, helium in a plasma. Alternatively, the silicon carbide layer may be deposited by reacting a gas mixture comprising hydrogen or argon and an organosilicon compound in a plasma.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: January 2, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Kang Sub Yim, Melissa M. Tam, Dian Sugiarto, Chi-I Lang, Peter Wai-Man Lee, Li-Qun Xia
  • Patent number: 7153787
    Abstract: A low dielectric constant film having silicon-carbon bonds and dielectric constant of about 3.0 or less, preferably about 2.5 or less, is provided. The low dielectric constant film is deposited by reacting a cyclic organosilicon compound and an aliphatic organosilicon compound with an oxidizing gas while applying RF power. The carbon content of the deposited film is between about 10 and about 30 atomic percent excluding hydrogen atoms, and is preferably between about 10 and about 20 atomic percent excluding hydrogen atoms.
    Type: Grant
    Filed: January 27, 2005
    Date of Patent: December 26, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Seon-Mee Cho, Peter Wai-Man Lee, Chi-I Lang, Dian Sugiarto, Chen-An Chen, Li-Qun Xia, Shankar Venkataraman, Ellie Yieh
  • Patent number: 7151053
    Abstract: Methods are provided for depositing an oxygen-doped dielectric layer. The oxygen-doped dielectric layer may be used for a barrier layer or a hardmask. In one aspect, a method is provided for processing a substrate including positioning the substrate in a processing chamber, introducing a processing gas comprising an oxygen-containing organosilicon compound, carbon dioxide, or combinations thereof, and an oxygen-free organosilicon compound to the processing chamber, and reacting the processing gas to deposit an oxygen-doped dielectric material on the substrate, wherein the dielectric material has an oxygen content of about 15 atomic percent or less. The oxygen-doped dielectric material may be used as a barrier layer in damascene or dual damascene applications.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: December 19, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Ju-Hyung Lee, Ping Xu, Shankar Venkataraman, Li-Qun Xia, Fei Han, Ellie Yieh, Srinivas D. Nemani, Kangsub Yim, Farhad K. Moghadam, Ashok K. Sinha, Yi Zheng
  • Patent number: 7138333
    Abstract: The invention relates to a process for sealing plasma-damaged, porous low-k materials on Si substrates, in which self-aligning molecules (SAMs) are applied to the low-k material, and then a diffusion barrier is applied to the low-k material. The invention is based on the object of providing a process for sealing plasma-damaged, porous low-k materials on Si substrates, which allows an improved distribution of the SAMs to be achieved, in particular in the case of structures with a high aspect ratio, and which allows the low-k materials to be repaired, dewatered and sealed. According to the invention, this is achieved by virtue of the fact that the deposition of the SAMs is carried out using a supercritical CO2 process (scCO2 process), by the wafers being introduced into a process chamber, that CO2 and SAMs are introduced into the process chamber and the process chamber is pressurized, that the wafers are heated to a temperature of over 35° C. up to 300° C.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: November 21, 2006
    Assignee: Infineon Technologies AG
    Inventors: Michael Schmidt, Georg Tempel
  • Patent number: 7135418
    Abstract: Methods of forming conformal films that reduce the amount of metal-containing precursor and/or silicon containing precursor materials required are described. The methods increase the amount of film grown following each dose of metal-containing and/or silicon-containing precursors. The methods may involve introducing multiple doses of the silicon-containing precursor for each dose of the metal-containing precursor and/or re-pressurizing the process chamber during exposure to a dose of the silicon-containing precursor. The methods of the present invention are particularly suitable for use in RVD processes.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: November 14, 2006
    Assignee: Novellus Systems, Inc.
    Inventor: George D. Papasouliotis
  • Patent number: 7122484
    Abstract: A method for removing organic material from an opening in a low k dielectric layer and above a metal layer on a substrate is disclosed. An ozone water solution comprised of one or more additives such as hydroxylamine or an ammonium salt is applied as a spray or by immersion. A chelating agent may be added to protect the metal layer from oxidation. A diketone may be added to the ozone water solution or applied in a gas or liquid phase in a subsequent step to remove any metal oxide that forms during the ozone treatment. A supercritical fluid mixture that includes CO2 and ozone can be used to remove organic residues that are not easily stripped by one of the aforementioned liquid solutions. The removal method prevents changes in the dielectric constant and refractive index of the low k dielectric layer and cleanly removes residues which improve device performance.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: October 17, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Baw-Ching Perng, Yi-Chen Huang, Jun-Lung Huang, Bor-Wen Chan, Peng-Fu Hsu, Hsin-Ching Shih, Lawrance Hsu, Hun-Jan Tao
  • Patent number: 7119016
    Abstract: A compound that includes at least Si, N and C in any combination, such as compounds of formula (R—NH)4-nSiXn wherein R is an alkyl group (which may be the same or different), n is 1, 2 or 3, and X is H or halogen (such as, e.g., bis-tertiary butyl amino silane (BTBAS)), may be mixed with silane or a silane derivative to produce a film. A polysilicon silicon film may be grown by mixing silane (SiH4) or a silane derviative and a compound including Si, N and C, such as BTBAS. Films controllably doped with carbon and/or nitrogen (such as layered films) may be grown by varying the reagents and conditions.
    Type: Grant
    Filed: October 15, 2003
    Date of Patent: October 10, 2006
    Assignees: International Business Machines Corporation, Applied Materials, Inc.
    Inventors: Ashima B. Chakravarti, Anita Madan, Woo-Hyeong Lee, Gregory Wayne Dibello, Ramaseshan Suryanarayanan Iyer
  • Patent number: 7115534
    Abstract: Methods are provided for depositing a dielectric material for use as an anti-reflective coating and sacrificial dielectric material in damascene formation. In one aspect, a process is provided for processing a substrate including depositing an acidic dielectric layer on the substrate by reacting an oxygen-containing organosilicon compound and an acidic compound, depositing a photoresist material on the acidic dielectric layer, and patterning the photoresist layer. The acidic dielectric layer may be used as a sacrificial layer in forming a feature definition by etching a partial feature definition, depositing the acidic dielectric material, etching the remainder of the feature definition, and then removing the acidic dielectric material to form a feature definition.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: October 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Son Van Nguyen, Michael D. Armacost, Mehul Naik, Girish A. Dixit, Ellie Y. Yieh
  • Patent number: 7109129
    Abstract: Methods of forming conformal films that reduce the amount of metal-containing precursor and/or silicon containing precursor materials required are described. The methods increase the amount of film grown following each dose of metal-containing and/or silicon-containing precursors. The methods may involve introducing multiple doses of the silicon-containing precursor for each dose of the metal-containing precursor and/or re-pressurizing the process chamber during exposure to a dose of the silicon-containing precursor. The methods of the present invention are particularly suitable for use in RVD processes.
    Type: Grant
    Filed: March 9, 2005
    Date of Patent: September 19, 2006
    Assignee: Novellus Systems, Inc.
    Inventor: George D. Papasouliotis
  • Patent number: 7105460
    Abstract: Methods are provided for depositing a dielectric material. The dielectric material may be used for an anti-reflective coating or as a hardmask. In one aspect, a method is provided for processing a substrate including introducing a processing gas comprising a silane-based compound and an organosilicon compound to the processing chamber and reacting the processing gas to deposit a nitrogen-free dielectric material on the substrate. The dielectric material comprises silicon and oxygen.
    Type: Grant
    Filed: July 11, 2002
    Date of Patent: September 12, 2006
    Assignee: Applied Materials
    Inventors: Bok Hoen Kim, Sudha Rathi, Sang H. Ahn, Christopher D. Bencher, Yuxiang May Wang, Hichem M'Saad, Mario D. Silvetti
  • Patent number: 7101815
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 is provided, comprising placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 7098061
    Abstract: A method for forming an electronic device, comprising: forming a first conductive or semiconductive layer; forming a sequence of at least on insulating layer and at least one semiconducting layer over the first conductive or semiconductive layer; locally depositing solvents at a localised region of the insulating layer so as to dissolve the sequence of insulating and semiconducting layers in the region to leave a void extending through the sequence of layer; and depositing conductive or semiconductive material in the void.
    Type: Grant
    Filed: June 21, 2002
    Date of Patent: August 29, 2006
    Assignee: Plastic Logic Limited
    Inventors: Henning Sirringhaus, Richard Henry Friend, Takeo Kawase
  • Patent number: 7094442
    Abstract: A method is provided for forming an amorphous carbon layer, deposited on a dielectric material such as oxide, nitride, silicon carbide, carbon doped oxide, etc., or a metal layer such as tungsten, aluminum or poly-silicon. The method includes the use of chamber seasoning, variable thickness of seasoning film, wider spacing, variable process gas flows, post-deposition purge with inert gas, and post-deposition plasma purge, among others, to make the deposition of an amorphous carbon film at low deposition temperatures possible without any defects or particle contamination.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: August 22, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Martin Jay Seamons, Wendy H. Yeh, Sudha S. R. Rathi, Heraldo L. Botelho
  • Patent number: 7078356
    Abstract: A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. An oxide comprising interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is formed over the substrate. After forming the carbon comprising dielectric layer, it is exposed to a plasma comprising oxygen effective to reduce the dielectric constant to below what it was prior to said exposing. A low k interlevel dielectric layer fabrication method includes providing a substrate having integrated circuitry at least partially formed thereon. In a chamber, an interlevel dielectric layer comprising carbon and having a dielectric constant no greater than 3.5 is plasma enhanced chemical vapor deposited over the substrate at subatmospheric pressure.
    Type: Grant
    Filed: March 19, 2002
    Date of Patent: July 18, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Weimin Li, Zhiping Yin, William Budge
  • Patent number: 7067441
    Abstract: A process for removing resist (114) from a CDO dielectric material (110) that uses a non-damaging plasma in a reducing atmosphere under high power and using a structure (150) or other means to limit ions from the plasma from reaching the surface of the CDO material (110).
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: June 27, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Patricia B. Smith, Phillip D. Matz
  • Patent number: 7064088
    Abstract: A hard film is formed on an insulation film formed on a semiconductor substrate by vaporizing a silicon-containing hydrocarbon compound to provide a source gas, introducing a reaction gas composed of the source gas and optionally an additive gas such as alcohol to a reaction space of a plasma CVD apparatus, and applying low-frequency RF power and high-frequency RF power. The silicon-containing hydrocarbon compound includes a cyclic Si-containing hydrocarbon compound and/or a linear Si-containing hydrocarbon compound, as a basal structure, with reactive groups for form oligomers using the basal structure. The residence time of the reaction gas in the reaction space is lengthened by reducing the total flow of the reaction gas in such a way as to form a siloxan polymer film with a low dielectric constant.
    Type: Grant
    Filed: April 11, 2003
    Date of Patent: June 20, 2006
    Assignee: ASM Japan K.K.
    Inventors: Yasuyoshi Hyodo, Atsuki Fukazawa, Yoshinori Morisada, Masashi Yamaguchi, Nobuo Matsuki
  • Patent number: 7060323
    Abstract: A material containing, as a main component, an organic silicon compound represented by the following general formula: R1xSi(OR2)4-x (where R1 is a phenyl group or a vinyl group; R2 is an alkyl group; and x is an integer of 1 to 3) is caused to undergo plasma polymerization or react with an oxidizing agent to form an interlayer insulating film composed of a silicon oxide film containing an organic component. As the organic silicon compound where R1 is a phenyl group, there can be listed phenyltrimethoxysilane or diphenyldimethoxysilane. As the organic silicon compound where R1 is a vinyl group, there can be listed vinyltrimethoxysilane or divinyldimethoxysilane.
    Type: Grant
    Filed: March 28, 2003
    Date of Patent: June 13, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Gaku Sugahara, Nobuo Aoi, Koji Arai, Kazuyuki Sawada
  • Patent number: 7056806
    Abstract: The present disclosure provides methods and apparatus useful in depositing materials on batches of microfeature workpieces. One implementation provides a method in which a quantity of a first precursor gas is introduced to an enclosure at a first enclosure pressure. The pressure within the enclosure is reduced to a second enclosure pressure while introducing a purge gas at a first flow rate. The second enclosure pressure may approach or be equal to a steady-state base pressure of the processing system at the first flow rate. After reducing the pressure, the purge gas flow may be increased to a second flow rate and the enclosure pressure may be increased to a third enclosure pressure. Thereafter, a flow of a second precursor gas may be introduced with a pressure within the enclosure at a fourth enclosure pressure; the third enclosure pressure is desirably within about 10 percent of the fourth enclosure pressure.
    Type: Grant
    Filed: September 17, 2003
    Date of Patent: June 6, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Trung T. Doan, Ronald A. Weimer, Kevin L. Beaman, Lyle D. Breiner, Lingyi A. Zheng, Er-Xuan Ping, Demetrius Sarigiannis, David J. Kubista
  • Patent number: 7056560
    Abstract: A method for depositing a low dielectric constant film is provided by reacting a gas mixture including one or more linear, oxygen-free organosilicon compounds, one or more oxygen-free hydrocarbon compounds comprising one ring and one or two carbon-carbon double bonds in the ring, and one or more oxidizing gases. Optionally, the low dielectric constant film is post-treated after it is deposited. In one aspect, the post treatment is an electron beam treatment.
    Type: Grant
    Filed: February 4, 2004
    Date of Patent: June 6, 2006
    Assignee: Applies Materials Inc.
    Inventors: Kang Sub Yim, Yi Zheng, Srinivas D. Nemani, Li-Qun Xia, Eric P. Hollar
  • Patent number: 7052932
    Abstract: A method of forming a dual damascene structure with improved performance is described. A first etch stop layer comprised of oxygen doped SiC is deposited on a SiC barrier layer to form a composite barrier/etch stop layer on a substrate. The remainder of the damascene stack is formed by sequentially depositing a first dielectric layer, a second oxygen doped SiC etch stop layer, and a second dielectric layer. A via and overlying trench are formed and filled with a diffusion barrier layer and a metal layer. The oxygen doped SiC layers have a lower dielectric constant than SiC or SIGN and a higher breakdown field than SiC. The etch selectivity of a C4F8/Ar etch for a SiCOH layer relative to the oxygen doped SiC layer is at least 6:1 because of a lower oxygen content in the oxygen doped SiC layer.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: May 30, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, John Sudijono, Koh Yee Wee
  • Patent number: 7026257
    Abstract: A method is used for forming a low relative permittivity dielectric film by a vacuum ultraviolet CVD. The film is a silicon organic film (e.g., SiOCH, SiC, SiCH, and SiOF films) that has a controlled relative permittivity and is formed at temperatures below 350° C. The method can control the content of carbon in the film to achieve a desired relative permittivity. A desired relative permittivity can be achieved by: {circle around (1)} controlling the type and flow rate of added gas (O2, N2O) that contains oxygen atoms; {circle around (2)} controlling the flow rate of TEOS; {circle around (3)} controlling the intensity of light emitted from the excimer lamp; {circle around (4)} elevating the temperatures of the synthetic quartz window and the gas flowing in the vacuum chamber, and controlling the distance between the synthetic quartz window and the wafer; and {circle around (5)} controlling the temperature of the wafer.
    Type: Grant
    Filed: March 6, 2001
    Date of Patent: April 11, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Kiyohiko Toshikawa, Yoshikazu Motoyama, Yousuke Motokawa, Yusuke Yagi, Junichi Miyano, Tetsurou Yokoyama, Yutaka Ichiki
  • Patent number: 7015142
    Abstract: In a method of making graphite devices, a preselected crystal face of a crystal is annealed to create a thin-film graphitic layer disposed against selected face. A preselected pattern is generated on the thin-film graphitic layer. A functional structure includes a crystalline substrate having a preselected crystal face. A thin-film graphitic layer is disposed on the preselected crystal face. The thin-film graphitic layer is patterned so as to define at least one functional structure.
    Type: Grant
    Filed: June 3, 2004
    Date of Patent: March 21, 2006
    Assignee: Georgia Tech Research Corporation
    Inventors: Walt A. DeHeer, Claire Berger, Phillip N. First
  • Patent number: 7015144
    Abstract: Compositions that can be used in semiconductor manufacturing processes, comprising perhydro-polysilazane having a weight average molecular weight of about 300 to about 3,000 and a polydispersity index of about 1.8 to about 3.0 are provided. Solutions comprising the compositions of the present invention, methods of forming films in a semiconductor manufacturing process, and methods of manufacturing semiconductor devices are also provided.
    Type: Grant
    Filed: February 11, 2004
    Date of Patent: March 21, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eunkee Hong, Kyutae Na, Juseon Goo, Hong Gun Kim
  • Patent number: 7011868
    Abstract: Low dielectric constant porous materials with improved elastic modulus and material hardness. The process of making such porous materials involves providing a porous dielectric material and plasma curing the porous dielectric material with a fluorine-free plasma gas to produce a fluorine-free plasma cured porous dielectric material. Fluorine-free plasma curing of the porous dielectric material yields a material with improved modulus and material hardness, and with comparable dielectric constant. The improvement in elastic modulus is typically greater than or about 50%, and more typically greater than or about 100%. The improvement in material hardness is typically greater than or about 50%. It is emphasized that this abstract is provided to comply with the rules requiring an abstract which will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure.
    Type: Grant
    Filed: July 24, 2003
    Date of Patent: March 14, 2006
    Assignee: Axcelis Technologies, Inc.
    Inventors: Carlo Waldfried, Qingyuan Han, Orlando Escorcia, Ralph Albano, Ivan L. Berry, III, Atsushi Shiota
  • Patent number: 7012030
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally liable groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: March 14, 2006
    Assignee: Applied Materials Inc.
    Inventor: Robert P. Mandal
  • Patent number: 7008885
    Abstract: A method is disclosed for removing liquids from a semiconductor substrate by contacting the liquid on the substrate with a liquid which attracts the liquid on the substrate, separating the liquids from the substrate, and inducing a phase transition in a layer on the substrate. In particular, the method is applicable to removing water from a water-containing layer on the substrate by contacting the layer with a hygroscopic liquid. Trenches on a substrate can be isolated by filling the trenches with a water-containing gel formed by reacting silane and hydrogen peroxide. The gel is contacted with sulfuric acid to remove a portion of the water from the gel before annealing to form silica in the trenches. Unlike filled trenches formed by conventional technology, there are no voids in the bottom of the trenches. The method is also applicable to forming dielectric layers which cover metal lines, low-dielectric layers, and interlayer dielectric layers.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: March 7, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Weimin Li
  • Patent number: 7001844
    Abstract: Stress level of a nitride film is adjusted as a function of two or more of the following: identity of a starting material precursor used to make the nitride film; identity of a nitrogen-containing precursor with which is treated the starting material precursor; ratio of the starting material precursor to the nitrogen-containing precursor; a set of CVD conditions under which the film is grown; and/or a thickness to which the film is grown. A rapid thermal chemical vapor deposition (RTCVD) film produced by reacting a compound containing silicon, nitrogen and carbon (such as bis-tertiary butyl amino silane (BTBAS)) with NH3 can provide advantageous properties, such as high stress and excellent performance in an etch-stop application. An ammonia-treated BTBAS film is particularly excellent in providing a high-stress property, and further having maintainability of that high-stress property over repeated annealing.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: February 21, 2006
    Assignee: International Business Machines Corporation
    Inventors: Ashima B. Chakravarti, Shreesh Narasimha, Victor Chan, Judson Holt, Satya N. Chakravarti
  • Patent number: 6992003
    Abstract: A backend semiconductor fabrication process includes forming an interlevel dielectric (ILD) overlying a wafer substrate by forming a low K dielectric (K<3.0) overlying the substrate of the wafer, forming an organic silicon-oxide glue layer overlying the low K dielectric, and forming a CMP stop layer dielectric overlying the glue layer dielectric. A void is then formed in the ILD, a conductive material is deposited to fill the void, and a polish process removes the excess conductive material. Forming the glue layer dielectric and the CMP stop layer dielectric is achieved by forming a CVD plasma using an organic precursor and an oxygen precursor and maintaining the plasma through the formation of the glue layer dielectric and the stop layer. The flow rate of the organic precursor is reduced relative to the oxygen precursor flow rate to form a CMP stop layer that is substantially free of carbon.
    Type: Grant
    Filed: September 11, 2003
    Date of Patent: January 31, 2006
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Gregory S. Spencer, Kurt H. Junker, Jason A. Vires
  • Patent number: 6991959
    Abstract: A method for forming a silicon carbide film on a semiconductor substrate by plasma CVD includes: introducing a raw material gas containing silicon, carbon, and hydrogen, an inert gas, and optionally an hydrogen source gas, into a reaction chamber at a predetermined mixing formulation of the raw material gas to the inert gas; applying radio-frequency power at the mixing formulation, thereby forming a curable silicon carbide film having a dielectric constant of about 4.0 or higher; and continuously applying radio-frequency power at a mixing formulation reducing the raw material gas and the hydrogen source gas if any, thereby curing the silicon carbide film to give a dielectric constant and a leakage current lower than those of the curable silicon carbide film.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: January 31, 2006
    Assignee: ASM Japan K.K.
    Inventors: Kamal Kishore Goundar, Kiyoshi Satoh
  • Patent number: 6984594
    Abstract: The present invention relates to a process for vapor depositing alow dielectric insulating film, and more particularly to a process for vapor deposition of low dielectric insulating film that can significantly improve a vapor deposition speed while maintaining properties of the low dielectric insulating film, thereby solving parasitic capacitance problems to realize a high aperture ratio structure, and can reduce a process time by using silane gas when vapor depositing an insulating film by a CVD or PECVD method to form a protection film for a semiconductor device.
    Type: Grant
    Filed: May 17, 2002
    Date of Patent: January 10, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Sung-Hoon Yang, Glenn A. Cerny, Kyuha Chung, Byung-Keun Hwang, Wan-Shick Hong
  • Patent number: 6979656
    Abstract: A method for fabricating a dielectric layer provides for use of a carbon source material separate from a halogen source material when forming a carbon and halogen doped silicate glass dielectric layer. The use of separate carbon and halogen source materials provides enhanced process latitude when forming the carbon and halogen doped silicate glass dielectric layer. Such a carbon and halogen doped silicate glass dielectric layer having a dielectric constant greater than about 3.0 is particularly useful as an intrinsic planarizing stop layer within a damascene method. A bilayer dielectric layer construction comprising a carbon and halogen doped silicate glass and a carbon doped silicate glass dielectric layer absent halogen doping is useful within a dual damascene method.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: December 27, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shiu-Ko Jangjian, Jun Wu, Chi-Wen Liu, Ying-Lung Wang, Yi-Lung Cheng, Michael Chang, Szu-An Wu
  • Patent number: 6974780
    Abstract: The invention provides semiconductor processing methods of depositing SiO2 on a substrate. In a preferred aspect, the invention provides methods of reducing the formation of undesired reaction intermediates in a chemical vapor deposition (CVD) decomposition reaction. In one implementation, the method is performed by feeding at least one of H2O and H2O2 into a reactor with an organic silicon precursor. For example, in one exemplary implementation, such components are, in gaseous form, fed separately into the reactor. In another exemplary implementation, such components are combined in liquid form prior to introduction into the reactor, and thereafter rendered into a gaseous form for provision into the reactor. The invention can be practiced with or in both hot wall and cold wall CVD systems.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: December 13, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Klaus F. Schuegraf
  • Patent number: 6953609
    Abstract: A high-density plasma process is proposed for depositing a layer of Silicon Nitride on a substrate in a plasma reactor. The process includes the steps of: providing a gas including precursor components of the Silicon Nitride, generating a plasma applying a radio-frequency power to the gas, and the plasma reacting with the substrate to deposit the layer of Silicon Nitride. The power applied to the gas is in the range from 2.5 kW to 4 kW.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: October 11, 2005
    Assignee: STMicroelectronics S.r.l.
    Inventor: Enzo Carollo
  • Patent number: 6943127
    Abstract: A low dielectric constant film having silicon-carbon bonds and dielectric constant of about 3.0 or less, preferably about 2.5 or less, is provided. The low dielectric constant film is deposited by reacting a cyclic organosilicon compound and an aliphatic organosilicon compound with an oxidizing gas while applying RF power. The carbon content of the deposited film is between about 10 and about 30 atomic percent excluding hydrogen atoms, and is preferably between about 10 and about 20 atomic percent excluding hydrogen atoms.
    Type: Grant
    Filed: November 22, 2002
    Date of Patent: September 13, 2005
    Assignee: Applied Materials Inc.
    Inventors: Seon-Mee Cho, Peter Wai-Man Lee, Chi-I Lang, Dian Sugiarto, Chen-An Chen, Li-Qun Xia, Shankar Venkataraman, Ellie Yieh
  • Patent number: 6939817
    Abstract: A method of removing residual carbon deposits from a flowable, insulative material. The flowable, insulative material comprises silicon, carbon, and hydrogen and is a flowable oxide material or a spin-on, flowable oxide material. The residual carbon deposits are removed from the flowable, insulative material by exposing the material to ozone. The flowable, insulative material is used to form an insulative layer in a trench located on a semiconductor substrate.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: September 6, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Li Li
  • Patent number: 6936309
    Abstract: A method for depositing a low dielectric constant film having an improved hardness and elastic modulus is provided. In one aspect, the method comprises depositing a low dielectric constant film having silicon, carbon, and hydrogen, and then treating the deposited film with a plasma of helium, hydrogen, or a mixture thereof at conditions sufficient to increase the hardness of the film.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: August 30, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Lihua Li, Tzu-Fang Huang, Li-Qun Xia, Ellie Yieh