Including Logic Patents (Class 703/15)
  • Patent number: 8000950
    Abstract: Latches in a net of a simulated integrated circuit design are initialized to known logical states prior to application of a reset signal at the beginning of the simulation. The logical states may be set by generating a list of the latches, sorting them in random order, and then dividing them into two groups based on the random order with high and low logical states respectively assigned to the two groups. In a specific implementation the latch states are set using an HDL force command prior to applying the reset signal, and the force command is removed after applying the reset signal using an HDL release command. If the circuit description is a gate-level netlist, then logical states of gates within the storage elements are also set.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: August 16, 2011
    Assignee: International Business Machines Corporation
    Inventors: Kalpesh Hira, Neil A. Panchal
  • Patent number: 7996194
    Abstract: A modeling process includes providing blocks, each of the blocks representing functional entities that operate on input signal values, output signal values from the blocks, grouping the output signal values as an ordered set in a multiplexer as a first composite signal and outputting the first composite signal.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: August 9, 2011
    Assignee: The MathWorks, Inc.
    Inventors: Mojdeh Shakeri, Marc Ullman, Ramamurthy Mani
  • Patent number: 7991605
    Abstract: Method and apparatus for translating a verification process having recursion for implementation in a logic emulator are described. Examples of the invention relate to a method, apparatus, and computer readable medium for translating a verification process for implementation in a hardware emulator of a logic verification system. A recursive task called by the verification process is identified. A copy of the recursive task is incorporated into the verification process. Interface registers are instantiated for the recursive task. Control flow transfer points are defined in the verification process. Calls of the recursive task are converted in the verification process to constructs for accessing the interface registers and transferring control flow among the control flow transfer points. The verification process is reorganized to describe a finite state machine (FSM) configured for implementation in the hardware emulator.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 2, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ping-sheng Tseng, Song Peng
  • Patent number: 7987373
    Abstract: Methods and apparatuses for enforcing terms of a licensing agreement between a plurality of parties involved in a particular hardware design through the use of hardware technologies. According to one embodiment, a hardware sub-design includes a license verification sub-design that is protected from user modification by encryption. In one embodiment, a license is generated based on a trusted host identifier within an external hardware device. In one embodiment, each trusted host identifier is unique, and no two integrated circuits share the same trusted host identifier. In another embodiment, the integrated circuit is a field programmable gate array or an application specific integrated circuit. In one embodiment, a license determines how long the hardware sub-design will operate when the hardware sub-design is implemented within an integrated circuit having a trusted host identifier.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: July 26, 2011
    Assignee: Synopsys, Inc.
    Inventor: Kenneth S. McElvain
  • Patent number: 7983890
    Abstract: A method, apparatus and computer program product for mapping and executing an application on a multi-processor system is presented. At least one array to be considered for distribution among processors of said multi-processor system is indicated. The application is mapped according to a performance model associated with benchmark performance data of a parallel library on a parallel computer architecture. Then either the application is executed on the multi-processor system, or the application is simulated using a specified machine model for a multiprocessor system. Feedback information is then provided to influence architecture parameters for a multiprocessor system.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: July 19, 2011
    Assignee: Massachusetts Institute of Technology
    Inventors: Nadya Travinin Bliss, Henry Hoffman
  • Patent number: 7984353
    Abstract: Provided is a test apparatus that tests a device under test, including a vector expanding section that sequentially generates a plurality of test vectors; a vector selecting section that selects test vectors that cause a prescribed characteristic of the device under test, which is to be measured when test signals that are each based on one of the test vectors are supplied to the device under test, to fulfill a preset condition; and a judging section that judges pass/fail of the device under test based on measured values of the prescribed characteristic of the device under test supplied with the test signal based on the test vectors selected by the vector selecting section.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: July 19, 2011
    Assignees: Advantest Corporation, The University Of Tokyo
    Inventors: Yasuo Furukawa, Gorschwin Fey, Satoshi Komatsu, Masahiro Fujita
  • Patent number: 7979815
    Abstract: A method and computer program product for modeling a semiconductor transistor device structure having an active device area, a gate structure, and including a conductive line feature connected to the gate structure and disposed above the active device area, the conductive line feature including a conductive landing pad feature disposed near an edge of the active device area in a circuit to be modeled. The method includes determining a distance between an edge defined by the landing pad feature to an edge of the active device area, and, from modeling a lithographic rounding effect of the landing pad feature, determining changes in width of the active device area as a function of the distance between an edge defined by the landing pad feature to an edge of the active device area. From these data, an effective change in active device area width (deltaW adder) is related to the determined distance.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: July 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Gerald M. Davidson, Paul A. Hyde, Judith H. McCullen, Shreesh Narasimha
  • Patent number: 7979759
    Abstract: A memory hub device with test logic is configured to communicate with memory devices via multiple hub device ports, and is also configured to communicate on one or more busses in an upstream and downstream direction. The test logic includes a built-in self test apparatus providing logic to simultaneously and independently test the memory devices interfaced to one or more of the hub device ports using read and write data patterns. The test logic also includes configuration registers to hold fault and diagnostic information, and to initiate one or more tests. The memory hub device can further include command collision detection logic, a trace array, buffer transmit mode logic, trigger logic, clock adjustment logic, transparent mode logic, and a configured command sequencer, as well as additional features.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: July 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael J. Carnevale, Elianne A. Bravo, Kevin C. Gower, Gary A. Van Huben, Donald J. Ziebarth
  • Publication number: 20110161066
    Abstract: Aspects of the present invention are directed to improving the speed of event-driven simulation by manipulating delta delays in a system model to reduce delta cycle executions. The manipulation is performed in a manner that preserves delta cycle accurate timing on selected signals of the system, which may be of interest to a designer. Methods and systems are provided for identifying the signals of interest, and for determining portions of the design that may have delta delays retimed. Preserving the timing on the signals of interest ensures that race conditions and glitches present in the design on the signals of interest are still viewable by the designer. To reduce simulation time, delta delays may be moved from high activity signals to low activity signals, the total number of delta delays may be reduced, or a number of processes executed may be reduced.
    Type: Application
    Filed: December 29, 2009
    Publication date: June 30, 2011
    Applicant: MENTOR GRAPHICS CORPORATION
    Inventors: Sachin Kakkar, John Ries
  • Patent number: 7958476
    Abstract: A power optimization method of deriving gated circuitry in an integrated circuit (IC) is provided. A design description of the IC is received and analyzed. A state machine is identified based on the analysis. One or more candidate blocks are determined to be capable of being disabled. At least one of the candidate blocks is selected based on one or more states of the state machine. A gating circuit is inserted for gating the selected candidate block(s). In another embodiment of power optimization, one or more state machines are identified and a synthesized netlist is generated. One or more candidate blocks in the synthesized netlist are determined to be capable of being disabled. At least one of the candidate blocks is selected based on one or more states in the state machine, and a gating circuit is inserted for gating the selected candidate block(s).
    Type: Grant
    Filed: July 9, 2008
    Date of Patent: June 7, 2011
    Assignee: Magma Design Automation, Inc.
    Inventors: Yunjian (William) Jiang, Arvind Srinivasan, Samit Chaudhuri
  • Patent number: 7954075
    Abstract: One set of illegal vector sequences is manually generated for a circuit design and a symbolic simulator is used to automatically generate another set of illegal vector sequences for the circuit design. For verification purposes, the relationship between the manually generated set and the automatically generated set is determined. Prior to determining this relationship, one or both of the sets are simplified. One simplification technique includes replacing pairs of illegal vector sequences that are the same except at one bit position with a more general illegal vector sequence representative of both illegal vector sequences of the pair.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: May 31, 2011
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Xiushan Feng
  • Patent number: 7941771
    Abstract: A method for on demand functional verification of a software model of an application specific integrated circuit (ASIC), in a low-level programming language, which separately handles the creation of the model and the debugging of the functional verification tests to be applied to the model in order to create a verification platform. In a transmission mode, an autonomous circuit emulator is created by replacing the model in a low level programming language physically describing the circuit to be validated with a high level description generating response data in accordance with the functional specification of the design as a function of stimuli received. A verification mode includes integration of the software model in low level language of the circuit resulting from the design into a verification platform, and creation of a connection of a previously validated autonomous circuit emulator to the interfaces of the software model.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: May 10, 2011
    Assignee: Bull S.A.
    Inventors: Anne Kaszynski, Jacques Abily
  • Patent number: 7941303
    Abstract: A method for modeling a system as a finite state machine in a modeling environment is discussed. Embodiments receive a representation of a finite state machine model and provide an interface for incorporating a temporal operator into the finite state machine model. The temporal operator may be a Boolean function that includes at least one event parameter and defines a temporal logic condition. Embodiments may also receive a definition of a first temporal operator that defines a logic condition related to a number of occurrences of two or more different base events.
    Type: Grant
    Filed: September 8, 2008
    Date of Patent: May 10, 2011
    Assignee: The MathWorks, Inc.
    Inventors: Vijaya Raghavan, Ebrahim Mehran Mestchian
  • Patent number: 7932563
    Abstract: An integrated circuit has a transistor with an active gate structure overlying an active diffusion area formed in a semiconductor substrate. A dummy gate structure is formed over a diffusion area and separated from the active gate structure by a selected distance (d2). A stress layer overlying the transistor array produces stress in a channel region of the transistor.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: April 26, 2011
    Assignee: Xilinx, Inc.
    Inventors: Jung-Ching J. Ho, Jane W. Sowards, Shuxian Wu
  • Patent number: 7934179
    Abstract: Methods and systems for simulating logic may translate logic design into executable code for a multi-processor based parallel logic simulation device. A system may implement one or more parallel execution methods, which may include IPMD, MPMD, and/or DDMT.
    Type: Grant
    Filed: November 9, 2007
    Date of Patent: April 26, 2011
    Assignee: ET International, Inc.
    Inventors: Guang R. Gao, Fei Chen
  • Patent number: 7933747
    Abstract: Method and system are disclosed for modeling dynamic behavior of a transistor. The method includes representing static behavior of a transistor using a lookup table, selecting an instance of the transistor from the lookup table for modeling dynamic behavior of the transistor, computing a previous state of the instance using a non-quasi static analytical model, computing a variation in channel charge of the instance according to a rate of change in time, computing a current state of the instance using the previous state and the variation in channel charge, computing a modified terminal voltage that includes a dynamic voltage across a parasitic resistance at the terminal of the transistor according to the current state and previous state of the instance, and storing the modified terminal voltage in a memory device for modeling dynamic behavior of the transistor at the current state.
    Type: Grant
    Filed: November 6, 2007
    Date of Patent: April 26, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yutao Ma, Min-Chie Jeng, Bruce W. McGaughy, Lifeng Wu, Zhihong Liu
  • Patent number: 7930666
    Abstract: Some embodiments provide a method of providing configurable ICs to a user. The method provides the configurable IC and a set of behavioral descriptions to the user. The behavioral descriptions specify the effects of accesses to a memory by a set of memory ports given a set of parameters chosen by the user.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: April 19, 2011
    Assignee: Tabula, Inc.
    Inventors: Herman Schmit, Daniel J. Pugh, Steven Teig
  • Patent number: 7930609
    Abstract: A circuit verifying method is provided for a logic circuit of a first sequential circuit which outputs a first data based on an input data in synchronization with a first clock signal, and a second sequential circuit which outputs a second data based on the first data in synchronization with a second clock signal with a period longer than that of a first clock signal. The circuit verifying method includes detecting a change of the input data in synchronization with the first clock signal; outputting a data indicating a meta stable state during a period longer than one period of the first clock signal based on the change of the input data as the first data; storing the changed input data in a storage unit based on the change of the input data; and outputting the changed input data which has been stored in the storage unit as the first data after stop the output of the data indicating the meta stable state.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: April 19, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Tsuyoshi Inagawa
  • Patent number: 7912694
    Abstract: According to a method of simulation processing, one or more HDL source files describing a digital design including a plurality of hierarchically arranged design entities are received. The one or more HDL source files include one or more statements instantiating a plurality of print events within the plurality of hierarchically arranged design entities, where each print event has an associated message and at least one associated signal in the digital design. The one or more HDL source files are processed to obtain a simulation executable model including a data structure describing the plurality of print events defined for the simulation executable model and associating each of the plurality of print events with its respective associated signal.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Gabor Bobok, Wolfgang Roesner, Derek E. Williams
  • Publication number: 20110054876
    Abstract: Devices, methods and articles advantageously allow communications between qubits to provide an architecture for universal adiabatic quantum computation. The architecture includes a first coupled basis A1B1 and a second coupled basis A2B2 that does not commute with the first basis A1B1.
    Type: Application
    Filed: April 4, 2008
    Publication date: March 3, 2011
    Inventors: Jacob Daniel Biamonte, Andrew Joseph Berkley, Mohammad Amin
  • Patent number: 7899660
    Abstract: A method and system of digital circuit functionality recognition for circuit characterization is disclosed. In one embodiment, a method for determining the valid arcs includes receiving a truth table including state information associated with input pins and their associated output pins in the digital circuit. Valid arcs are then determined based on whether a change in each of the input pins causes a change in associated one of the output pins using the received truth table. A first arc table is then formed using state information associated with substantially the determined valid arcs. Redundant arcs are then identified in the first arc table using the associated state information. A second arc table is then formed by removing the state information associated with the redundant arcs from the first arc table.
    Type: Grant
    Filed: October 18, 2007
    Date of Patent: March 1, 2011
    Assignee: Wipro Limited
    Inventor: Ben Varkey Benjamin
  • Patent number: 7900166
    Abstract: A method is provided to produce a model of an integrated circuit substrate, the method comprising: providing a tile definition that specifies an electrical model associated with instances of the tile; mapping a plurality of respective tile instances to respective locations of the substrate; and connecting the mapped tile instances to each other to produce a tile grid that models overall electrical behavior of the substrate.
    Type: Grant
    Filed: June 27, 2007
    Date of Patent: March 1, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Vinod Kariat, Xiaopeng Dong, David Noice
  • Patent number: 7895029
    Abstract: A system and method for modifying a simulation model and optimizing an application program to produce valid hardware-identified operating conditions that are matched with simulator-identified operating conditions in order to modify a simulator accordingly is presented. A critical path coverage analyzer includes critical path measurement logic into a simulation model that injects errors into the critical path and provides visibility into the number of times that an application program exercises the critical path. The critical path coverage analyzer uses the critical path measurement logic to optimize an application program to adequately exercise and test the critical paths. Once optimized, the critical path coverage analyzer runs the optimized application program on a hardware device to produce hardware-identified operating conditions. The hardware-identified operating conditions are matched against simulator-identified operating conditions.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Matthew Edward King, Charles Leverett Meissner, Todd Swanson, Michael Ellett Weissinger
  • Patent number: 7895028
    Abstract: A design structure which enables e-fuse memory repair. The design structure uses a compressed bit string to generate another bit string based on a select value. The select value provides instructions to an encoding logic element, which generates a second bit string. For example, the select value may instruct the encoding logic to create a duplicate copy of each bit in the compressed bit string to generate a 2n-bit string. Once the fuses are programmed using the second bit string, the fuse values are read out as a third string, which is decoded by a decoding logic element according to the select value, thereby improving memory repair.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Darren Lane Anand, Michael Richard Ouellette, Michael Anthony Ziegerhofer
  • Patent number: 7895026
    Abstract: A computer-implemented method of scheduling a multi-rate, synchronous circuit design for simulation within a high-level modeling system. The method can include determining a component clocking rate for each of a plurality of synchronous components of the circuit design and classifying each of the plurality of synchronous components into a plurality of schedules according to component clocking rate. For each clock cycle during simulation, the method can include selecting one of the plurality of schedules and executing each synchronous component of the selected schedule. A value determined through execution of a synchronous component of the circuit design can be output.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: February 22, 2011
    Assignee: Xilinx, Inc.
    Inventors: Sean A. Kelly, Stephen A. Neuendorffer, Haibing Ma
  • Patent number: 7895564
    Abstract: A method of communicating data among a plurality of software modules of a heterogeneous software system can include constructing an XTable object in a first software module of the plurality of software modules and providing the XTable object to a second software module of the plurality of software modules. The method further can include extracting data from the XTable object within the second software module.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: February 22, 2011
    Assignee: Xilinx, Inc.
    Inventors: Jeffrey D. Stroomer, Roger B. Milne, Sean A. Kelly, Alexander R. Vogenthaler, Jonathan B. Ballagh
  • Patent number: 7885797
    Abstract: One embodiment of the present invention is a method for producing a system for describing an electrical network. An output signal of the electrical network is sampled at a frequency that corresponds to the Nyquist criterion for the input signal to the electrical network. A model with a memory is developed for the output signal, which is sampled at a low sampling rate, with this model approximating the output signal. The model is then transformed by suitable interpolation to an interpolated model with a memory. The interpolation results in the model created in this way providing a good approximation to an output signal which is sampled at a high frequency. The resultant system can be used for predistortion.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: February 8, 2011
    Assignee: Infineon Technologies AG
    Inventors: Heinz Köppl, Peter Singerl
  • Patent number: 7885801
    Abstract: Asynchronous behavior of a circuit is emulated by modifying a netlist to insert additional logic at a driving element such as a latch. The additional logic outputs one of (i) a present output from the driving element, (ii) a delayed output from the driving element, or (iii) a random value, which drives downstream logic. The output of the additional logic is selectively responsive to a user-controlled skew enable input. The invention allows for simpler data skew logic transformations which are applicable to both latches and primary inputs, with no dependencies on any clock net.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Zoltan T. Hidvegi, Yee Ja, Bradley S. Nelson
  • Patent number: 7882473
    Abstract: Mechanisms for performing sequential equivalence checking for asynchronous verification are provided. A first model of the integrated circuit design is provided that has additional logic in it to reflect the possible variance in behavior of the asynchronous crossings. A second model of the integrated circuit design is provided that does not have this asynchronous behavior logic but instead correlates to the simplest synchronous model that is usually used for non-asynchronous functional verification tasks. Sequential equivalence checking is performed to verify that the two models are input/output equivalent. In order to address non-uniform arrival times of bus strands, logic is provided for identifying bus strands that have transitioning bits, determining a representative delay for these strands, comparing the representative delays for all of the bus strands to determine the maximum delay for the entire bus, and applying this maximum delay to one of the models.
    Type: Grant
    Filed: November 27, 2007
    Date of Patent: February 1, 2011
    Assignee: International Business Machines Corporation
    Inventors: Jason R. Baumgartner, Yee Ja, Hari Mony, Viresh Paruthi, Barinjato Ramanandray
  • Patent number: 7877659
    Abstract: Techniques are provided for modeling memory operations when generating test cases to verify multi-processor designs. Each memory operation has associated therewith a set of transfer attributes that can be referenced by a test generator. Using the transfer attributes, it is possible to generate a variety of interesting scenarios that handle read-write collisions and generally avoid reloading or resources. The model provides accurate result prediction, and allows write access restrictions to be removed from sensitive memory areas, such as control areas.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: January 25, 2011
    Assignee: International Business Machines Corporation
    Inventors: Felix Geller, Yehuda Naveh
  • Patent number: 7877248
    Abstract: A discrete event system (DES) modeling environment models the occurrence of events independent of continuous model time. In a DES modeling environment, state transitions depend not on time, but rather asynchronous discrete incidents known as events. A user may customize selected parameters of a block or other component able to support at least one entity passing therethrough holding a value of arbitrary data type in a DES modeling environment. For example, a user can enable and disable ports a discrete event execution block in a discrete event execution model using a graphical user interface, such as a dialog box. Based on user-selected dialog inputs, a discrete event execution program can automatically update a specification for a block, for example, by adding ports to the graphical representation of the block.
    Type: Grant
    Filed: December 23, 2004
    Date of Patent: January 25, 2011
    Assignee: The MathWorks, Inc.
    Inventor: Michael I. Clune
  • Patent number: 7865789
    Abstract: A system and method for verifying system-on-chip interconnect includes a first linear feedback shift register coupled to an output interface of a first system-on-chip component, a second linear feedback shift register instantiated in a second system-on-chip component, and a comparator coupled to the second linear feedback shift register and the input interface of the second system-on-chip. Another method for verifying includes generating a pseudo-random number sequence with the first linear feedback shift register and the second linear feedback shift register using an identical first initial state, and comparing an output of the first linear feedback shift register with an output of the second linear feedback shift register and reporting a miss-compare.
    Type: Grant
    Filed: June 28, 2007
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Serafino Bueti, Adam Courchesne, Kenneth J. Goodnow, Gregory J. Mann, Jason M. Norman, Stanley B. Stanski, Scott T. Vento
  • Patent number: 7865850
    Abstract: A methodology is provided to perform noise analysis in the implementation stage of the design of an integrated circuit, and based upon analysis results, a floorplan may be adjusted or guard rings may be inserted to reduce the impact of digital switching noise upon noise sensitive circuits.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: January 4, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: William Kao, Xiaopeng Dong
  • Patent number: 7865346
    Abstract: A hardware simulation accelerator to simulate logic designs, a method to encode instructions for use in the hardware simulation accelerator, and a computer program product having code of the method by which the hardware simulation accelerator can read encoded instructions to simulate the logic design, and computer program product of the encoded instructions to simulate a logic design in a hardware accelerator. Each instruction has one of a plurality of opcodes, the opcodes select which of the hardware resources of the hardware simulation accelerator will implement and use the values set forth in other programmable bits of the encoded instruction. The encoded instruction may be a routing and/or a gate evaluation instruction.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: January 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Gernot E. Günther, Viktor Gyuris, Kevin Anthony Pasnik, Thomas John Tryt, John H. Westermann, Jr.
  • Patent number: 7865795
    Abstract: Methods and apparatuses for generating a random sequence of commands for a semiconductor device. The method generates random state transitions within a finite state machine model of the semiconductor device. A sequence of commands is determined which are associated to the generated random state transitions based on the finite state machine model of the semiconductor device.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: January 4, 2011
    Assignee: Qimonda AG
    Inventors: Thomas Nirmaier, Wolfgang Spirkl
  • Patent number: 7865348
    Abstract: This invention provides techniques and tools for reducing circuit simulation time when an electronic circuit with multiple input vectors is simulated. Instead of running the simulation for each input vector one at a time, the circuit-simulation application runs the simulation of the circuit for all input vectors simultaneously. Efficiencies in the simulation are obtained during each iteration of a transient analysis by grouping circuit instances with different input vectors based on a predetermined criteria, and producing a combined solution for circuit instances within each group.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: January 4, 2011
    Assignee: Oracle America, Inc.
    Inventors: Wai Chung W. Au, Alexander I. Korobkov
  • Patent number: 7861200
    Abstract: A method of characterizing a device under test (DUT) includes determining a goal function associated with a setup and hold time for the DUT. A minimum value for the goal function is determined by iteratively adjusting setup and hold times for input data to the DUT, and determining whether the DUT performs according to specifications. The minimum goal function value will reflect minimum setup and hold time values based on weights associated with the goal function. This allows the minimum setup and hold times for the DUT to be characterized with a small number of binary searches, improving the speed of the characterization process.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: December 28, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Yifeng Yang, Yun Zhang, Yibin Xia, David J. Chapman
  • Publication number: 20100324881
    Abstract: A method uses a SAT solver operating to cycle k to find bugs in a model having finite computation paths therein, wherein said bugs are on computation paths of less than length k. Another method includes adding an additional state variable to a model to be checked, where a governing state machine of the additional variable has a “sink” state. The method includes having a translation using the additional variable whenever a state indicates a bad state and performing satisfiability solving with the model and the translation.
    Type: Application
    Filed: August 10, 2010
    Publication date: December 23, 2010
    Inventors: Daniel Geist, Mark Ginzburg, Yoad Lustig, Ishai Rabinovitz, Ohad Shacham, Rachel Tzoref
  • Patent number: 7856346
    Abstract: A test system for data processing circuit design emulates multiple bus masters and provides an arbitration mechanism for coordinating arbitration between those bus masters in the design emulation. The shared bus being tested may be a multi-layer bus and one or more of the bus masters being emulated or bus slaves being emulated may be cut-down emulations modelling the bus interaction itself or full emulations of the intended bus master circuit or bus slave circuit including its operational data processing.
    Type: Grant
    Filed: May 10, 2002
    Date of Patent: December 21, 2010
    Assignee: ARM Limited
    Inventors: Andrew Mark Nightingale, Timothy Charles Mace
  • Publication number: 20100318952
    Abstract: A system and method for verifying logic circuit designs having arithmetic operations and complex logical operations such that the operations may be evaluated at substantially full hardware speed is disclosed. According to one embodiment, a system for verifying the functionalities of an electronic circuit design comprises hardware emulation resources emulating at least a portion of an electronic circuit design; and a first hardware ALU block having an arithmetic logic unit that performs an arithmetic operation or a complex logical operation of the electronic circuit design, and a set of flag registers that contains a conditional value for enabling the arithmetic logic unit.
    Type: Application
    Filed: June 11, 2010
    Publication date: December 16, 2010
    Inventor: Mitchell G. Poplack
  • Publication number: 20100318328
    Abstract: To efficiently manufacture an integrated circuit including an I/O register. On the basis of behavior level design data 851, I/O register access information 852 is generated which includes information on access control from a user logical circuit 313 to an I/O register of an I/O register circuit 312 and specification information on the I/O register. Then, on the basis of the I/O register access information 852 and association of an SW address with an HW address, address map information 853 including association of an SW register on a processor device 350 side with an HW register on the user logical circuit 313 side is generated, the SW address being used when the processor device 350 accesses the I/O register, and the HW address being used when the user logical circuit 313 accesses the I/O register. Thereafter, on the basis of the behavior level design data 851 and the address map information 853, behavior level design data 854 is generated which describes an internal structure of the I/O register circuit 312.
    Type: Application
    Filed: June 15, 2009
    Publication date: December 16, 2010
    Applicant: HITACHI, LTD.
    Inventor: Shuntaro Seno
  • Patent number: 7853906
    Abstract: An accelerated High-Level Bounded Model Checking method that efficiently extracts high-level information from the model, uses that extracted information to obtain an improved verification model, and applies relevant information on-the-fly to simplify the BMC-problem instances.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: December 14, 2010
    Assignee: NEC Laboratories America, Inc.
    Inventors: Malay Ganai, Aarti Gupta
  • Patent number: 7853442
    Abstract: There are provided a printed circuit board design instruction support method between a circuit design and a printed circuit board design, a printed circuit board design instruction support device between a circuit design and a printed circuit board design, a Web system, a program, an a computer-readable recording medium which improve the work efficiency of the printed circuit board designing and the quality of the printed circuit board design. By selecting a circuit part to which the design rule is applied, a circuit program and a portion-to-be-checked on the printed circuit board are simultaneously displayed by cooperation between the circuit design system and the printed circuit board design system, thereby reducing the time and labor required for check.
    Type: Grant
    Filed: October 13, 2004
    Date of Patent: December 14, 2010
    Assignee: Zuken Inc.
    Inventors: Hiromichi Inaishi, Hiroyuki Tanaka, Keisuke Fukuoka, Masahiro Yamawaki, Asako Ajimine
  • Publication number: 20100305933
    Abstract: A method for verifying a logic circuit in a prototyping system includes (a) configuring programmable logic circuits of the prototyping system to implement the logic circuit and to implement probe circuits for accessing internal nodes of the logic circuit; (b) preparing emulation vectors for use in a vector emulation of the logic circuit in the prototyping system; (c) setting one or more vector substitution points; (d) preparing one or more packet vectors at each vector substitution point for replacing emulation vectors in the vector emulation; (e) performing the vector emulation using the emulation vectors until one of the vector substitution points is reached; and (f) substituting packet vectors for the corresponding emulation vectors at vector substitution point and continuing the vector emulation.
    Type: Application
    Filed: June 1, 2009
    Publication date: December 2, 2010
    Inventors: Chioumin M. Chang, Thomas B. Huang, Huan-Chih Tsai, Ting-Mao Chang
  • Publication number: 20100305934
    Abstract: A program that simulates a netlist data including a plurality of basic elements using a computer includes a logic operation section configured to stipulate a logic operation of at least one of the plurality of the basic elements, a change detection section configured to detect changes in signal levels at an input-and-output end of the at least one of the plurality of the basic elements, and a data storage section configured to store a position data corresponding to the changes of the signal levels.
    Type: Application
    Filed: May 25, 2010
    Publication date: December 2, 2010
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Naoto KOSUGI
  • Patent number: 7835899
    Abstract: According to a method of simulation processing, a collection of files including one or more HDL source files describing design entities collectively representing a digital design to be simulated is received. The HDL source file(s) include a statement specifying inclusion of an instrumentation entity not forming a portion of the digital design but enabling observation of its operation during simulation. The instrumentation entity includes sequential logic containing at least one storage element, where the instrumentation entity has an output signal indicative of occurrence of a simulation event. The collection of files is processed to obtain an instrumented simulation executable model. The processing includes instantiating at least one instance of each of the plurality of design entities and instantiating the instrumentation entity. The processing further includes instantiating external instrumentation logic, logically coupled to each instance of the instrumentation entity, to record occurrences of the event.
    Type: Grant
    Filed: May 7, 2007
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Wolfgang Roesner, Derek E. Williams
  • Patent number: 7835898
    Abstract: A method uses a SAT solver operating to cycle k to find bugs in a model having finite computation paths therein, wherein said bugs are on computation paths of less than length k. Another method includes adding an additional state variable to a model to be checked, where a governing state machine of the additional variable has a “sink” state. The method includes having a translation using the additional variable whenever a state indicates a bad state and performing satisfiability solving with the model and the translation.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: November 16, 2010
    Assignee: International Business Machines Corporation
    Inventors: Daniel Geist, Mark Ginzburg, Yoad Lustig, Ishai Rabinovitz, Ohad Shacham, Rachel Tzoref
  • Publication number: 20100286976
    Abstract: Methods and systems for simulating logic may translate logic design into executable code for a multi-processor based parallel logic simulation device. A system may implement one or more parallel execution methods, which may include IPMD, MPMD, and/or DDMT.
    Type: Application
    Filed: July 21, 2010
    Publication date: November 11, 2010
    Applicant: ET INTERNATIONAL, INC.
    Inventors: Guang R. Gao, Fei Chen
  • Patent number: 7827017
    Abstract: A system and method for performing circuit simulation is described. The present approach provides methods and systems that create reusable and independent measurements for use with circuit simulators. Also disclosed are parallelizable measurements having looping constructs that can be run without interference between parallel iterations. Reusability is enhanced by having parameterized measurements. Revisions and history of the operating parameters of circuit designs subject to simulation are tracked. Mechanisms are provided that allow for viewing, measurement or other manipulation of signals at specific locations in a circuit design for simulation, such as parameters that include observation points which are implemented using probes. One approach to executing a measurement is via a controllable and flexible control statement, which in one embodiment is the “run” statement. Improved interfaces for viewing, controlling, and manipulating simulations and simulation results are also provided.
    Type: Grant
    Filed: December 17, 2003
    Date of Patent: November 2, 2010
    Assignee: Cadence Design Systems, Inc.
    Inventor: Kenneth S. Kundert
  • Patent number: 7827020
    Abstract: Disclosed is simulation of circuit behavior by running a central electronic core simulation in a high level simulator up to and including initial microload, creation of a post-IML (initial microcode load) state, and transferring the post-initial microcode state from the central electronic core simulation to the post-initial microcode load co-simulator.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: November 2, 2010
    Assignee: International Business Machines Corporation
    Inventor: Edward C. McCain