Including Logic Patents (Class 703/15)
  • Patent number: 7818693
    Abstract: A system and method for modeling a semiconductor transistor device structure having a conductive line feature of a designed length connected to a gate of a transistor device in a circuit to be modeled, the transistor including an active device (RX) area over which the gate is formed and over which the conductive line feature extends. The method includes providing an analytical model representation including a function for modeling a lithographic flare effect impacting the active device area width; and, from the modeling function, relating an effective change in active device area width (deltaW adder) as a function of a distance from a defined edge of the RX area. Then, transistor model parameter values in a transistor compact model for the device are updated to include deltaW adder values to be added to a built-in deltaW value.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: October 19, 2010
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, Gerald M. Davidson, Paul A. Hyde, Judith H. McCullen, Shreesh Narasimha
  • Patent number: 7809544
    Abstract: Methods of detecting unwanted logic in a configuration bitstream for a programmable logic device (PLD). The bitstream can be reversed engineered to generate a model of the design. The model is then tested for unwanted logic, e.g., logic inserted for the purpose of monitoring or interfering with the desired functionality of the design, by applying a test suite that exercises all desired functions for the design. If some of the logic nodes in the model are not exercised by the test suite, then the unexercised nodes might constitute unwanted logic and might have been inserted for malicious purposes. To reverse engineer the bitstream, a simulation model of the unprogrammed PLD can be used. Configuration bits from the bitstream can be inserted into the model of the unprogrammed PLD. The modified model can be simplified by propagating constants through the model in response to the values inserted into the model.
    Type: Grant
    Filed: June 13, 2007
    Date of Patent: October 5, 2010
    Assignee: Xilinx, Inc.
    Inventor: Stephen M. Trimberger
  • Patent number: 7809543
    Abstract: A method, apparatus, and computer program product for creating a model representing an electrical network residing in an integrated circuit package.
    Type: Grant
    Filed: January 9, 2007
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Charles Chiu, Craig Lussier
  • Publication number: 20100250224
    Abstract: A power source noise analysis device includes an analysis portion. The analysis portion estimates an internal impedance of a semiconductor chip being an object to be analyzed based on a power current waveform, which is obtained by simulation of the semiconductor chip based on design data of the semiconductor chip. The analysis portion carries out a noise analysis of a power system including a board having the semiconductor chip mounted thereon based on the internal impedance.
    Type: Application
    Filed: December 17, 2009
    Publication date: September 30, 2010
    Applicant: FUJI XEROX CO., LTD.
    Inventors: Daisuke IGUCHI, Takahiro HORIGUCHI
  • Patent number: 7797677
    Abstract: A method of passing data among modules of a heterogeneous software system can include identifying a scripted function to be executed within the heterogeneous software system and building a wrapper script by embedding a call to the scripted function and an XTable object associated with the scripted function within the wrapper script. The method further can include executing the wrapper script thereby causing the scripted function to execute and receiving a result from execution of the scripted function.
    Type: Grant
    Filed: November 8, 2005
    Date of Patent: September 14, 2010
    Assignee: Xilinx, Inc.
    Inventors: Jonathan B. Ballagh, Sean A. Kelly, Roger B. Milne, Shay Ping Seng, Jeffrey D. Stroomer
  • Patent number: 7797654
    Abstract: A design of an integrated circuit device, in which locations of power wires and memory/logic circuitry are known, is analyzed by at least: identifying intersections of power wires with one another, for power wires that are electrically connected to one another through vias; segmenting power wires, at their intersections; preparing estimates of conductance of vias and wire segments in the form of conductance matrix G; and preparing estimates of current I at each intersection based on power consumed by surrounding circuitry, and current vector “I” and conductance matrix “G” are used to solve for voltage drop ?V, in a matrix equation G?V=I, and the voltage drop is displayed, to allow a human to make changes in the design. Pins of unconnected hard macros are temporarily connected to their closest wires, and current therethrough is included in the estimates.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: September 14, 2010
    Assignee: Synopsys, Inc.
    Inventors: Philip Hui-Yuh Tai, Yi-Min Jiang, Sung-Hoon Kwon
  • Patent number: 7793241
    Abstract: A design of an integrated circuit device, in which locations of power wires and memory/logic circuitry are known, is analyzed by at least: identifying intersections of power wires with one another, for power wires that are electrically connected to one another through vias; segmenting power wires, at their intersections; preparing estimates of conductance of vias and wire segments in the form of conductance matrix G; and preparing estimates of current I at each intersection based on power consumed by surrounding circuitry, and current vector “I” and conductance matrix “G” are used to solve for voltage drop ?V, in a matrix equation G?V=I, and the voltage drop is displayed, to allow a human to make changes in the design. Pins of unconnected hard macros are temporarily connected to their closest wires, and current therethrough is included in the estimates.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: September 7, 2010
    Assignee: SYNOPSYS, Inc.
    Inventors: Philip Hui-Yuh Tai, Yi-Min Jiang, Sung-Hoon Kwon
  • Patent number: 7788079
    Abstract: A method and an apparatus for obtaining an equivalent circuit model of a multi-layer circuit are disclosed. The method includes simulating the multi-layer circuit using an electromagnetic field analysis to provide a coupling network; and simplifying the coupling network using a circuit model order reduction method to generate the equivalent circuit model. The method is very simple to implement and the equivalent circuit model obtained has an apparent physical meaning.
    Type: Grant
    Filed: May 5, 2006
    Date of Patent: August 31, 2010
    Assignee: Chinese University of Hong Kong
    Inventors: Ke-Li Wu, Jie Wang
  • Patent number: 7788561
    Abstract: Technologies disclosed herein can be used to diagnose defects on die having both scan chain and system logic defects, including in situations where the presence of one or more faults in the system logic potentially obscures the detectability of one or more faults in the scan chains (or channels) and vice versa. At least some embodiments employ an iterative approach where at least some scan chain faults are identified, these chain faults are used to identify system logic faults, and then additional chain faults are identified using the system logic faults and vice versa. Failing bits can be partitioned into at least two groups: failing bits determined as being caused by system logic failures, and failing bits determined as being possibly caused by chain defects, system logic defects, or the compound effects of both types of defects.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: August 31, 2010
    Inventors: Yu Huang, Wu-Tung Cheng, Ruifeng Guo
  • Patent number: 7783467
    Abstract: A digital system design method uses a higher programming language. In order to realize a digital system, an algorithm is verified based on a program written by the higher programming language and a program is programmed considering the higher programming language-hardware characteristics before the program is written in the lower programming language, and thus conversion into the lower programming language may be easily performed.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: August 24, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jung-Bo Son, Hee-Jung Yu, Eun-Young Choi, Chan-Ho Yoon, Il-Gu Lee, Deuk-Su Lyu, Tae-hyun Jeon, Seung-Wook Min, Kwhang-Hyun Ryu, Kyoung-Ju Noh, Yun-Joo Kim, Kyoung-Hee Song, Sok-Kyu Lee, Seung-Chan Bang, Seung-Ku Hwang
  • Patent number: 7778812
    Abstract: Embodiments of the present invention provide a method for generating write and read commands used to test hardware device models. The method is able to generate multiple write commands to a location without having to generate intervening read commands to validate the data. In addition, the method enables read commands to be generated in a different sequence from the sequence of generated write commands, having different sizes than the sizes of the write commands, and that maximize the amount of data read (verified) and minimize the amount of unnecessary reads (re-verification).
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: August 17, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Robert Hoffman, Jr.
  • Patent number: 7778813
    Abstract: Modify H.263-type quantization with an adaptive quantization parameter floor; this limits clipping of quantized DCT coefficients and consequent artifacts. The maximum absolute level of AC coefficients of a DCT transformed macroblock provides a minimum quantization parameter from integer division by 256 when the quantized levels are clipped to a range such as ?127 to +127.
    Type: Grant
    Filed: August 13, 2004
    Date of Patent: August 17, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Minhua Zhou
  • Patent number: 7779380
    Abstract: There is provided a data processing apparatus (1) including a logic circuit (10) that is reconfigurable in each cycle and a library (2) that stores hardware control information (20). The hardware control information (20) includes a plurality of pieces of cycle-based mapping information (21) for individually mapping a plurality of cycle-based circuits, which each realize a function in each cycle for executing an application, onto the logic circuit (10) and configuration selection information (22) for selecting at least one of the plurality of pieces of cycle-based mapping information according to an execution state of the application. The data processing apparatus (1) includes a control unit (11) that reconfigures at least part of the logic region (10) using at least one of the plurality of pieces of cycle-based mapping information (21) according to a request in each cycle based on the configuration selection information (22).
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: August 17, 2010
    Assignee: Ipflex Inc.
    Inventor: Hiroki Honda
  • Patent number: 7770051
    Abstract: Various embodiments of the invention provide a frequency shifter to vary the frequency of data transmitted over time, such as to increase and decrease the frequency of test data transmitted over time to verify a digital communication device's ability to receive data having various frequencies within a specific parameter range. The frequency shifter includes a frequency modifier to shift or vary an input clock frequency to a variety of output clock frequencies, such as according to a test protocol. The frequency shifter also includes an elastic data buffer to receive the test data at the input clock frequency and to output the test data at the plurality of output clock frequencies provided by the frequency modifier.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: August 3, 2010
    Assignee: Intel Corporation
    Inventors: Debendra Das Sharma, Gurushankar Rajamani, Hanh Hoang
  • Patent number: 7769577
    Abstract: A hardware accelerator includes hardware support for a combinational only cycle and a latch only cycle in a simulation model with a single partition of latches and combinational logic. Preferred embodiments use a special 4-input 1-output function unit in the hardware accelerator in place of the normal latch function that write back the old latch value for combinational only cycles. Other embodiments include hardware support for separate array write disables for arrays and transparent latches depending on whether the cycle is a combinational only cycle and a latch only cycle. A conditional array write disable dependent on the occurrence of a hardware breakpoint is also included that supports switching from a latch plus combinational cycle to a latch only cycle, to give control to the user before evaluating the combinational logic if a breakpoint occurs on a latch.
    Type: Grant
    Filed: August 31, 2007
    Date of Patent: August 3, 2010
    Assignee: International Business Machines Corporation
    Inventors: Gernot E. Guenther, Viktor Gyuris, Harrell Hoffman, Kevin Anthony Pasnik, John Henry Westerman, Jr.
  • Patent number: 7761275
    Abstract: A method for performing an analysis of at least one logic stage in a netlist, which include one or more drivers, is provided. The method includes operations of generating at least one look-up table for an output transient current to be based on values of input and output voltages using data available from a cell library; synthesizing analytically at least one current source model, which includes a DC component and a plurality of parasitic capacitances, using the look-up table; simulating the logic stage using the current source model to model the drivers; and obtaining characteristics of the simulated logic stage. A system and a machine-readable medium for performing the method are also provided.
    Type: Grant
    Filed: December 19, 2005
    Date of Patent: July 20, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kaviraj S. Chopra, Chandramouli V. Kashyap, Haihua Su
  • Patent number: 7761273
    Abstract: A computer-implemented method may include defining an input bus signal in a graphical block diagram model by associating the input bus signal with a first group of signals, at least two of the first group of signals having a different data type; defining an output bus signal in the graphical block diagram model by associating the second bus signal with a second group of signals, each of the second group of signals corresponding to one of the first group of signals; defining an input to a non-virtual operation block in the graphical block diagram model as the input bus signal; defining an output to the non-virtual operation block in the graphical block diagram as the output bus signal; and simulating an operation performed on the input bus signal by the non-virtual operation block, the operation being performed on each of the first group of signals and output to each of the second group of signals.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: July 20, 2010
    Assignee: The MathWorks, Inc.
    Inventors: Peter Szpak, Matthew Englehart
  • Publication number: 20100174521
    Abstract: Various aspects of the present invention are directed to design modeling and/or processing of streaming data. According to an example embodiment, a system to model a hardware specification includes a platform (106) arranged to receive an input data stream and transmit an output data stream. The system also includes a source (102) for a streaming application adapted to provide the input data stream at a source data rate, a destination (104) for the streaming application adapted to consume the output data stream at a destination data rate, and a data channel (110) coupling the platform and a computer (108). The computer uses the hardware specification to generate intermediate data streams, which, in turn, are used to streamline the modeling for the platform.
    Type: Application
    Filed: December 2, 2005
    Publication date: July 8, 2010
    Applicant: NXP B.V.
    Inventors: Timothy Allen Pontius, Gregory E. Ehmann, Robert L. Payne
  • Patent number: 7743350
    Abstract: In one embodiment, a method for satisfiability (SAT)-based bounded model checking (BMC) includes isolating information learned from a first iteration of an SAT-based BMC process and applying the isolated information from the first iteration of the SAT-based BMC process to a second iteration of the SAT-based BMC process subsequent to the first iteration.
    Type: Grant
    Filed: April 29, 2005
    Date of Patent: June 22, 2010
    Assignee: Fujitsu Limited
    Inventor: Mukul R. Prasad
  • Patent number: 7739638
    Abstract: A circuit analyzing device includes: a peripheral input signal setting part configured to make a signal setting by a predetermined requirement for a peripheral input which does not logically affect operation of the predetermined circuit part, upon analyzing a signal delay in operation of a predetermined circuit part, and wherein: analysis is made for a signal propagation operation delay in operation of the predetermined circuit part, in consideration of influence of the signal input from the signal setting.
    Type: Grant
    Filed: March 7, 2005
    Date of Patent: June 15, 2010
    Assignee: Fujitsu Limited
    Inventor: Masashi Arayama
  • Patent number: 7739633
    Abstract: Testing a model of a logic circuit model. The testing includes generating valid random input stimulus sequences for a logic circuit model. Enumerating critical resource requirements, enumerating critical resource availabilities does this, and selecting of stimulus sequences and determining legal times for execution of said stimulus sequences based on resource availability. This includes generating a plurality of possible combinations of input stimulus sequences and generating an array representation of critical resource requirements. These are used to generate an array representation of critical resources availabilities.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Faisal A. Ahmad, Kevin C. Gower, Anish T. Patel
  • Publication number: 20100146338
    Abstract: The process by which a logical simulation model is implemented in a physical device may introduce errors in the resulting implementation. A simulation system enables comparison of a realized physical implementation against the simulation models that produce them, thereby detecting differences between an initial, logical design and the resulting physical embodiment. Errors introduced by an initial design, faulty Intellectual Property blocks, faulty programmable logic device silicon, faulty synthesis algorithms and software, and faulty place and route algorithms and software may be detected. As a result, the simulation system reflects both the accuracy of the actual implemented device with the capacity and performance of a purpose built hardware-assisted solution.
    Type: Application
    Filed: December 3, 2009
    Publication date: June 10, 2010
    Inventors: Christopher A. Schalick, Roderick B. Sullivan, JR., Elliott H. Mednick, Matthew D. Kopser
  • Patent number: 7735031
    Abstract: A system that includes a controller for enabling an enumeration operation. The enumeration operation is performed by a controller (110) and logic elements (120) in a system, such that each logic element in the system assigns itself a unique identifier. Each logic element can then be controlled by another source or have a means to communicate with other logic elements in the system. The unique identifier enables greater system flexibility, thereby reducing cost and improving efficiency.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: June 8, 2010
    Assignee: International Business Machines Corporation
    Inventors: Valerie Hornbeck Chickanosky, Kevin William Gorman, Emory D. Keller, Michael Richard Ouellette
  • Publication number: 20100138710
    Abstract: To provide a logic verification apparatus capable of preventing, when an indeterminate value is generated in logic verification, the indeterminate value from being unintentionally erased. A simulation part performs a simulation based on a description of the logic function described in a hardware description language. A second symbol replacing part replaces an indeterminate value generated in the simulation by the simulation part with a symbol. The simulation part generates a symbol expression and propagates it to an element at a later stage when the symbol replaced by the second symbol replacing part reaches an element being processed. Therefore, unintentional erasing of the indeterminate value generated during the simulation can be prevented.
    Type: Application
    Filed: October 22, 2009
    Publication date: June 3, 2010
    Inventor: Eiichi Fukita
  • Patent number: 7730435
    Abstract: Methods and apparatus are provided for efficiently generating test components for testing and evaluating a design under test. As a design is being configured, generated test components are made available. In one example, test components are automatically generated and included in a simulation testbench based on selected components in the design. Generally, the test components complement the selected components in the design. Moreover, the test components can be automatically seeded with initial contents.
    Type: Grant
    Filed: April 30, 2007
    Date of Patent: June 1, 2010
    Assignee: Altera Corporation
    Inventors: Jeffrey Orion Pritchard, Todd Wayne
  • Patent number: 7720660
    Abstract: A simulation environment is disclosed wherein both analog and RF signals are simulated in a single flow by a mixed-domain simulator. The simulator includes a simulator kernel with an analog solver and an RF solver to allow both analog- and RF-type of signals to be solved in an interrelated fashion. The simulator may also include a partitioner that divides the circuit into various RF and analog modules to be solved. User input may control the partitioning process, but the simulator may refine the partitions or generate sub-partitions to provide a higher probability of convergence.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: May 18, 2010
    Inventors: Pascal Bolcato, Remi Larcheveque, Joel Besnard
  • Patent number: 7721090
    Abstract: A method of creating a secure intellectual property (IP) representation of a circuit design for use with a software-based simulator can include translating a hardware description language representation of the circuit design into an encrypted intermediate form and compiling the intermediate form of the circuit design to produce encrypted object code. The method further can include linking the encrypted object code with a simulation kernel library thereby creating the secure IP representation of the circuit design. The secure IP can include an encrypted simulation model of the circuit design and a simulation kernel configured to execute the encrypted simulation model.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: May 18, 2010
    Assignee: Xilinx, Inc.
    Inventors: Kumar Deepak, Satish R. Ganesan, Jimmy Zhenming Wang, Sundararajarao Mohan, Ralph D. Wittig, Hem C. Neema
  • Patent number: 7720664
    Abstract: For the purpose of providing a simulation model allowing gate simulation but is capable of keeping the circuit information on the functional block (IP) secret, a method of generating a simulation model provided herein by the present invention comprises a step of generating a net list containing circuit information of an electronic circuit using a functional block; and a step of deleting the circuit information based on the net list, and generating a gate simulation model carrying out a timing simulation, including logic information and delay information between input/output of the functional block.
    Type: Grant
    Filed: April 28, 2005
    Date of Patent: May 18, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Nobuhide Takaba, Atsushi Sakurai
  • Publication number: 20100102825
    Abstract: Design for testability (DFT) algorithms, which use both gradient descent and linear programming (LP) algorithms to insert test points (TPs) and/or scanned flip-flops (SFFs) into large circuits to make them testable are described. Scanning of either all flip-flops or a subset of flip-flops is supported. The algorithms measure testability using probabilities computed from logic simulation, Shannon's entropy measure (from information theory), and spectral analysis of the circuit in the frequency domain. The DFT hardware inserter methods uses toggling rates of the flip-flops (analyzed using digital signal processing (DSP) methods) and Shannon entropy measures of flip-flops to select flip-flops for scan. The optimal insertion of the DFT hardware reduces the amount of DFT hardware, since the gradient descent and linear program optimizations trade off inserting a TP versus inserting an SFF.
    Type: Application
    Filed: May 18, 2009
    Publication date: April 29, 2010
    Applicant: Rutgers, The State University of New Jersey
    Inventors: Michael L. Bushnell, Raghuveer Ausoori, Omar Khan, Deepak Mehta, Xinghao Chen
  • Publication number: 20100106477
    Abstract: A logic simulation apparatus includes: a jitter detector generation section 21 that generates information concerning a jitter circuit for determining whether a time variation occurs in signal passing between a first circuit and a second circuit, the first circuit configured to output a signal with a clock output from a predetermined clock source and the second circuit configured to output a signal with a clock output from a clock source different from the above predetermined clock source; and a constraint solver generation section 22 that generates information concerning a solver that is configured to create a signal to be output at an observation point using a logical expression of an output signal of the second circuit and output, based on the logical expression and output signal of the jitter detector circuit, a signal constrained by the output signal of the jitter detector circuit and output signal of the second circuit.
    Type: Application
    Filed: July 8, 2009
    Publication date: April 29, 2010
    Applicant: FUJITSU LIMITED
    Inventor: Hiroaki IWASHITA
  • Publication number: 20100094610
    Abstract: A circuit simulation model generation apparatus includes: a power supply wiring model generation section that generates a power supply wiring model which is a model of the power supply wiring; a logic circuit model generation section that generates a logic circuit model which is a model of the logic circuit; and a link section that adds, to the logic circuit model and the power supply wiring model, a voltage controller that acquires a potential value of a logic circuit connecting terminal and gives the acquired potential value to a power supply wiring connecting terminal and a current controller that acquires a current value of the power supply wiring connecting terminal and gives the acquired current value to the logic circuit connecting terminal in the simulation, and links the logic circuit model and the power supply wiring model to generate a simulation model.
    Type: Application
    Filed: December 9, 2009
    Publication date: April 15, 2010
    Applicant: FUJITSU LIMITED
    Inventor: Satoshi Matsuura
  • Patent number: 7698674
    Abstract: A method and a system for conducting a static timing analysis on a circuit having a plurality of point-to-point delay constraints between two points of the circuit, in which two conservative and two optimistic user defined tests are derived for all types of the point-to-point delay constraints. The method shows that when a conservative test is performed without introducing any special tags, then it is found that the point-to-point constraint is satisfied. On the other hand, when the optimistic test fails without any special tags, it is determined that the point-to-point constraint is bound to fail if special tags are introduced, in which case, they are to be introduced only when an exact slack is desired. Finally, for anything in between, a real analysis with special tags or path tracing is required. Based on the topology of the graph, arrival time based tests may be tighter in some situations, while the required arrival time based tests, may be tighter in others.
    Type: Grant
    Filed: December 1, 2006
    Date of Patent: April 13, 2010
    Assignee: International Business Machines Corporation
    Inventors: Kerim Kalafala, Revanta Banerji, David J. Hathaway, Jessica Sheridan, Chandramouli Visweswariah
  • Patent number: 7693701
    Abstract: A configurable, low power high fan-in multiplexer (MUX) and design structure thereof are disclosed. The MUX circuit includes multiple current control elements, which each include multiple inverters coupled to a transmission gate. Each current control element receives a data signal and a select signal that corresponds to the data signal. If a select signal exceeds a threshold value (e.g., a logical “1”), the select signal deactivates a pull-up transistor (e.g., a p-type field effect transistor), and the transmission gate enables the corresponding data signal to provide input to a logic gate (e.g., a NAND gate) coupled to the output of the MUX. If the select signal does not exceed the threshold value, the select signal activates the pull-up transistor, and the transmission gate prevents the corresponding data signal from providing input to the logic gate.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: April 6, 2010
    Assignee: International Business Machines Corporation
    Inventors: Owen Chiang, Christopher M. Durham, Peter J. Klim, James D. Warnock
  • Publication number: 20100076742
    Abstract: Various embodiments include methods and apparatus for simulating a transistor using a simulation model that includes a transistor simulation model coupled to diode simulation model.
    Type: Application
    Filed: September 23, 2008
    Publication date: March 25, 2010
    Applicant: Atmel Corporation
    Inventor: Adam H. Pawlikiewicz
  • Patent number: 7685541
    Abstract: Translation of high-level design blocks into a design specification in a hardware description language (HDL). Each block in the high-level design is assigned to a group. A set of attributes is identical between the blocks in a group. For each group of blocks, a respective set of parameters having different values on subblocks of at least two blocks in the group is determined. An HDL specification is generated for each group. The HDL specification for a group has for each parameter in the set of parameters, a parameter input.
    Type: Grant
    Filed: May 1, 2008
    Date of Patent: March 23, 2010
    Assignee: Xilinx, Inc.
    Inventors: Jeffrey D. Stroomer, Roger B. Milne, Isaac W. Foraker, Sean A. Kelly
  • Patent number: 7675368
    Abstract: A novel hybrid stochastic gradient adaptation apparatus and method for calibrating the gain of an RF or non-RF digitally controlled oscillator (DCO). The adaptation algorithm determines a true stochastic gradient between a forcing function and its corresponding system measure to estimate the system parameters being adapted. A momentum term is generated and injected into the adaptation algorithm in order to stabilize the algorithm by adding inertia against any large transient variations in the input data. In the case of adaptation of DCO gain KDCO, the algorithm determines the stochastic gradient between time varying calibration or actual modulation data and the raw phase error accumulated in an all digital phase locked loop (ADPLL). Two filters preprocess the observable data to limit the bandwidth of the computed stochastic gradient providing a trade-off between sensitivity and settling time.
    Type: Grant
    Filed: April 29, 2008
    Date of Patent: March 9, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Khurram Waheed, Robert B. Staszewski
  • Publication number: 20100017187
    Abstract: Latches in a net of a simulated integrated circuit design are initialized to known logical states prior to application of a reset signal at the beginning of the simulation. The logical states may be set by generating a list of the latches, sorting them in random order, and then dividing them into two groups based on the random order with high and low logical states respectively assigned to the two groups. In a specific implementation the latch states are set using an HDL force command prior to applying the reset signal, and the force command is removed after applying the reset signal using an HDL release command. If the circuit description is a gate-level netlist, then logical states of gates within the storage elements are also set.
    Type: Application
    Filed: July 15, 2008
    Publication date: January 21, 2010
    Applicant: International Business Machines Corporation
    Inventors: Kalpesh Hira, Neil A. Panchal
  • Patent number: 7650553
    Abstract: An interface test can be performed by, for example, only a self apparatus when interface operation specifications are different between the self apparatus and an original connection partner apparatus. An LSI has a plurality of interfaces (IFs) for transmission/reception of data with an external device, and the LSI includes an emulation control unit for allowing one of the two of the plurality of IFs to perform an operation of emulating an IF of a connection partner device having operation specifications different from those of the LSI, when two IFs are connected to each other via a transmission line.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: January 19, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Kazufumi Komura
  • Publication number: 20100004904
    Abstract: A display designing system and a method thereof. The display designing system includes a variety of operation modules and an integration module. After receiving initial parameters and selecting operation type parameters, the operation modules generate operation results and transfer the operation results to the integration module. The integration module integrates the operation results and generates a correspondence relation, such as an operation window, a compare-table and an equation. The integration module then transfers the operation results and the correspondence relation to the output module. The output module displays effect variations of a variety of designs corresponding to the initial parameters. Therefore, the method can provide a user with an easy way to obtain ideal design parameters for designing a display pixel circuit.
    Type: Application
    Filed: July 3, 2008
    Publication date: January 7, 2010
    Inventors: I-Yin Li, Jean-Fu Kiang
  • Patent number: 7644327
    Abstract: A system and method of providing error detection and correction capability in an IC using redundant logic cells and an embedded field programmable gate array (FPGA). The system and method provide error correction (EC) to enable a defective logic function implemented within an IC chip design to be replaced, wherein at least one embedded FPGA is provided in the IC chip to perform a logic function. If a defective logic function is identified in the IC design, the embedded FPGA is programmed to correctly perform the defective logic function. All inputs in an input cone of logic of the defective logic function are identified and are directed into the embedded FPGA, such that the embedded FPGA performs the logic function of the defective logic function.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: January 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, Christopher B. Reynolds, Sebastian T. Ventrone, Paul S. Zuchowski
  • Patent number: 7643981
    Abstract: The present invention provides for simulating signal transitions. Circuit characteristics are generated. Circuit characteristics are loaded into memory. Circuit behaviour is simulated. A non-leading edge circuit transition is captured. This occurs in software.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: January 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sang Y. Lee, Vasant B. Rao, Jeffrey Soreff, James Warnock, David Winston
  • Patent number: 7640151
    Abstract: A method and system for simulation of an electronic circuit is provided, the circuit being represented by a network of a plurality of logic elements, the circuit comprising first and second asynchronous clock domains, whereby jitter elements are additionally inserted at predetermined portions of circuit boundaries between the first and second clock domains, the jitter elements being represented as logic elements, the values of which are randomly set.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: December 29, 2009
    Assignee: Broadcom Corporation
    Inventors: Simon Smith, Geoff Barrett, Martin Vickers
  • Patent number: 7636654
    Abstract: A differential amplifier and a method for generating a computer simulation model thereof are disclosed. The device is thermally stable through adoption of a ballast resistor to a differential structure of a unit transistor pair, such that the differential amplifier prevents heat effect phenomena, such as performance deterioration and device destruction by heating, and, at the same time, improves or maintains other performances, thereby achieving high gain, high efficiency, high linearity, and wide bandwidth characteristics. Therefore, the differential amplifier can be easily designed as undesired effects of parasitic resistor of emitter or via or bonding wire, etc. for the differential amplifier are reduced in a differential mode.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: December 22, 2009
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Songcheol Hong, Dong Ho Lee, Kyung-Ai Lee
  • Publication number: 20090313001
    Abstract: The present invention relates to a technique for executing performance evaluation simulation of a system to be implemented by software or hardware. A simulation apparatus includes a first acquisition section for executing existing tentative software to acquire a first execution log, a division section for dividing the first execution log into a plurality of basic processing units, a basic processing execution log production section for modifying some of the plural basic processing units to produce a basic processing execution log to be used for simulation, and a simulation execution section for inputting the basic processing execution log to a hardware model to execute the simulation to acquire information required for the performance evaluation.
    Type: Application
    Filed: February 26, 2009
    Publication date: December 17, 2009
    Applicant: Fujitsu Limited
    Inventors: Tomoki Kato, Noriyasu Nakayama, Hiroyuki Hieda
  • Publication number: 20090307637
    Abstract: Methods of designing and testing restore logic for restoring values to storage elements of power-managed logic circuitry. In one implementation, a design method disclosed includes providing a design of the logic circuitry that, when instantiated, will have a number of states it can be returned to upon repowering-up the logic circuitry. Values held by the storage elements are determined and utilized to categorize the storage elements into categories that allow the development of restore logic that will restore the state of the power-managed logic circuitry that is appropriate to the particular powering-up. The restore logic design is tested by modeling it and the power-managed logic circuitry in a hardware description language and simulating the number of states over a number of test cases. If the design and testing are successful, the restore logic can be optimized for instantiation into an actual integrated circuit.
    Type: Application
    Filed: June 9, 2008
    Publication date: December 10, 2009
    Inventors: Susan K. Lichtensteiger, Michael R. Ouellette, Raymond W. M. Schuppe, Sebastian T. Ventrone
  • Patent number: 7630876
    Abstract: Method and system for application specific integrated circuit (ASIC) simulation, wherein the ASIC includes plural logical elements is provided. The method includes, monitoring transitions at an output of a logic element of the ASIC; checking if the transition is to an unknown value (X); verifying if the unknown value is based on a design error; forcing the output of the logic element to a known value if the unknown is an unwanted condition; propagating the known value to logic elements in the ASIC; and releasing the known value after a next command.
    Type: Grant
    Filed: October 25, 2005
    Date of Patent: December 8, 2009
    Assignee: QLOGIC, Corporation
    Inventors: Gavin J. Bowlby, Aklank H. Shah, Abraham F. Tabari
  • Publication number: 20090300558
    Abstract: A method is provided for simulating a sequential digital circuit module given a set of input conditions and a current state for the circuit. The method comprises initiating all state nodes of the circuit module to logic values stored in the current state, initializing all sequential submodules of the circuit module to the states stored in the current state, simulating the circuit module after initialization, and after completion of the simulation step, reporting the output logic values and associated delays and storing the logic values of the state nodes and the states of the sequential modules in the next state in the circuit module, multiple value changes in the state nodes of the circuit module being recorded on the next state.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 3, 2009
    Applicant: National Semiconductor Corporation
    Inventors: Tathagato Rai Dastidar, Amir Yashfe, Partha Ray
  • Patent number: 7613599
    Abstract: An integrated design environment (IDE) is disclosed for forming virtual embedded systems. The IDE includes a design language for forming finite state machine models of hardware components that are coupled to simulators of processor cores, preferably instruction set accurate simulators. A software debugger interface permits a software application to be loaded and executed on the virtual embedded system. A virtual test bench may be coupled to the simulation to serve as a human-machine interface. In one embodiment, the IDE is provided as a web-based service for the evaluation, development and procurement phases of an embedded system project. IP components, such as processor cores, may be evaluated using a virtual embedded system. In one embodiment, a virtual embedded system is used as an executable specification for the procurement of a good or service related to an embedded system.
    Type: Grant
    Filed: June 1, 2001
    Date of Patent: November 3, 2009
    Assignee: Synopsys, Inc.
    Inventors: Stephen L Bade, Shay Ben-Chorin, Paul Caamano, Marcelo E Montoreano, Ani Taggu, Filip C Theon, Dean C Wills
  • Patent number: 7606698
    Abstract: A method and apparatus for sharing data between processors within first and second discrete clusters of processors. The method comprises supplying a first amount of data from a first data array in a first discrete cluster of processors to selector logic. A second amount of data from a second data array in a second discrete cluster of processors is also supplied to the selector logic. The first or second amount of data is then selected using the selector logic, and supplied to a shared input port on a processor in the first discrete cluster of processors. The apparatus comprises selector logic for selecting between input data supplied by a first data array and a second data array. The data arrays are located within different discrete clusters of processors. The selected data is then supplied to a shared input port on a processor.
    Type: Grant
    Filed: September 26, 2006
    Date of Patent: October 20, 2009
    Assignee: Cadence Design Systems, Inc.
    Inventors: Beshara G. Elmufdi, Mitchell G. Poplack
  • Publication number: 20090259453
    Abstract: A method of modeling an SRAM cell is provided. Initially, transistor models are provided based on transistor devices, and an SRAM cell model is provided including the transistor models. The present methodology streamlines the modeling process by modeling in order the pull up, pass gate and pull down transistors so as to minimize the number of transistor modeling iterations needed, and by focusing on the specific areas of transistor operation to achieve the desired level of operational accuracy. Variations to the model are provided, mimicking variations in data from actual devices, and yield based on failure estimation is measured using the model and its variations.
    Type: Application
    Filed: April 11, 2008
    Publication date: October 15, 2009
    Inventors: Vineet Wason, Ciby Thuruthiyil, Priyanka Chiney, Qiang Chen, Sriram Balasubramanian