Random Pattern Generation (includes Pseudorandom Pattern) Patents (Class 714/728)
  • Patent number: 11816500
    Abstract: Apparatuses to synchronize lanes that diverge or threads that drift are disclosed. In one embodiment, a graphics multiprocessor includes a queue having an initial state of groups with a first group having threads of first and second instruction types and a second group having threads of the first and second instruction types. A regroup engine (or regroup circuitry) regroups threads into a third group having threads of the first instruction type and a fourth group having threads of the second instruction type.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: November 14, 2023
    Assignee: Intel Corporation
    Inventors: Valentin Andrei, Subramaniam Maiyuran, SungYe Kim, Varghese George, Altug Koker, Aravindh Anantaraman
  • Patent number: 11740284
    Abstract: An integrated circuit (IC) test engine generates single cycle test patterns for testing for candidate faults and/or defects of a first set of static faults and/or defects of an IC design. A diagnostics engine receives single cycle test result data characterizing application of the single cycle test patterns to a fabricated IC chip based on the IC design and fault-simulates a subset of the single cycle test patterns against a fault model that includes multicycle faults and/or defects utilizing sim-shifting to diagnose a second set of static faults and/or defects in the fabricated IC chip that are only detectable with multicycle test patterns. The diagnostics engine further scores candidate faults and/or defects in the first set of static faults and/or defects and the second set of static faults and/or defects for applicable test patterns to determine a most likely fault and/or defect present in the fabricated IC chip.
    Type: Grant
    Filed: July 2, 2021
    Date of Patent: August 29, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arvind Chokhani, Joseph Michael Swenton, Martin Thomas Amodeo
  • Patent number: 11585853
    Abstract: A circuit comprises: a bit-flipping signal generation device comprising a storage device and configured to generate a bit-flipping signal based on bit-flipping location information, the storage device configured to store the bit-flipping location information for a first number of bits, the bit-flipping location information obtained through a fault simulation process; a pseudo random test pattern generator configured to generate test patterns based on the bit-flipping signal, the pseudo random test pattern generator comprising a register configured to be a linear finite state machine, the register comprising storage elements and bit-flipping devices, each of the bit-flipping devices coupled to one of the storage elements; and scan chains configured to receive the test patterns, wherein the bit-flipping signal causes one of the bit-flipping devices to invert a bit of the register each time a second number of test patterns is being generated by the pseudo random test pattern generator during a test.
    Type: Grant
    Filed: November 17, 2020
    Date of Patent: February 21, 2023
    Assignee: Siemens Industry Software Inc.
    Inventors: Grzegorz Mrugalski, Nilanjan Mukherjee, Janusz Rajski, Lukasz Rybak, Jerzy Tyszer
  • Patent number: 11573878
    Abstract: A method and apparatus of monitoring computer devices operating on a network is disclosed. Computer devices are all different and require monitoring settings that are tailored to their specific requirements. One example of the present invention may include a method of monitoring at least one computer device operating on a network. The method may include receiving audit information representing attributes of the computer device and storing the audit information in memory. The method may also include comparing the audit information to a predefined monitor set of objects to be monitored. The method may further include creating a new monitor set based on the comparison of the audit information and the predefined monitor set. The new monitor set is different from the predefined monitor set and is generally used to monitor objects which are included in the audited device. The method may also include monitoring the at least one computer device based on the new monitor set.
    Type: Grant
    Filed: November 14, 2021
    Date of Patent: February 7, 2023
    Assignee: International Business Machines Corporation
    Inventor: Mark Thomas Lingen
  • Patent number: 11550701
    Abstract: Methods and systems for an automated micro-scheduler testing framework that allows tests to be automatically scheduled or rescheduled based on information such as results of previously-executed tests or other external information are provided. In large-scale development environments, where individual changes to a code repository cannot be specifically fully tested due to scalability and resource issues, micro-scheduler servers may be configured and designed to automatically identify target tests and request that the target tests be executed by a continuous integration system to automatically identify and resolve breakages introduced into a codebase managed by the continuous build system in a large-scale environment.
    Type: Grant
    Filed: December 8, 2020
    Date of Patent: January 10, 2023
    Assignee: Google LLC
    Inventors: Sanjeev Dhanda, Eric Nickell
  • Patent number: 11463103
    Abstract: The present technology relates to a transmission device, a transmission method, a reception device, and a reception method for securing good communication quality in data transmission using an LDPC code. LDPC coding for information bits with an information length K=N×r is performed on the basis of an extended parity check matrix having rows and columns extended by a predetermined puncture length L with respect to a parity check matrix of an LDPC code with a code length N of 69120 bits and a coding rate r of 14/16, so that an extended LDPC code having parity bits with a parity length M=N+L?K is generated. A head of the information bits of the extended LDPC code is punctured by L, so that a punctured LDPC code with the code length N of 69120 bits and the coding rate r is generated.
    Type: Grant
    Filed: May 17, 2018
    Date of Patent: October 4, 2022
    Assignee: SONY CORPORATION
    Inventors: Makiko Yamamoto, Yuji Shinohara
  • Patent number: 11437099
    Abstract: A memory device includes a memory array including a plurality of memory cells arranged in rows and columns. A closed loop bias generator is configured to output a column select signal to the memory array. A current limiter receives an output of the closed loop bias generator. The current limiter is coupled to a plurality of the columns of the memory array.
    Type: Grant
    Filed: April 26, 2021
    Date of Patent: September 6, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Cheng Chou, Tien-Yen Wang
  • Patent number: 11393042
    Abstract: Home telematics devices are engineered to identify unique device signatures for all appliances, fixtures, and so on that generate voltage noise, pressure waves, and acoustic responses throughout a property. The device signatures comprise the inventory of devices in the insured's home and are used to create an electronic record of the devices that assists him in filing a claim with an insurer that is quick and easy after a theft or total loss. Using the device signatures provided by home telematics devices' sensing an itemization technology, fraud detection is also possible. Additionally, analytics software predicts possible failure by analyzing the device signatures.
    Type: Grant
    Filed: May 21, 2020
    Date of Patent: July 19, 2022
    Assignee: LIBERTY MUTUAL INSURANCE COMPANY
    Inventors: Brian Stephen Piccolo, Guy Michael Mabry, Courtney Taryn Quinn, Normand Francis Olean, Jr.
  • Patent number: 11341416
    Abstract: Techniques and systems for solving a set of constraints are described. Binary decision diagram (BDD) learning can be applied to a proper subset of the first set of constraints to obtain a set of bit-level invariants. The set of bit-level invariants can then be used for solving the set of constraints. The set of bit-level invariants can include (1) forbidden invariants, (2) conditional invariants, and/or (3) bit-level invariants that are determined by applying BDD learning to a conjunction of constraints and range expressions. If multiple implied constraints have a common right-hand-side (RHS) expression, then BDD learning can be applied to the common RHS expression only once.
    Type: Grant
    Filed: December 13, 2018
    Date of Patent: May 24, 2022
    Assignee: Synopsys, Inc.
    Inventors: In-Ho Moon, Qiang Qiang
  • Patent number: 11293979
    Abstract: The present invention provides a reliable method and arrangement for boundary scan testing and debugging newly manufactured multi-chip modules (MCMs) made to identical design specifications with no Known Good Die therein. Advantageously, a first and a second MCM are temporarily linked in tandem for boundary scan testing through a motherboard and daisy-chaining their internal dice, and interlinking the corresponding boundary scan cells of the identical dice of the first and second MCM to (1) run self-test on individual MCMs and mutual test on the MCMs connected in tandem in order to generate an extended Truth Table that includes responses from an array of combined netlists of the first and second MCMs, and (2) to diagnose mismatched bits in the extended Truth Table using a Boundary Scan Diagnostics software so as to identify defects in the first and second MCMs.
    Type: Grant
    Filed: October 21, 2020
    Date of Patent: April 5, 2022
    Inventor: Peter Shun Shen Wang
  • Patent number: 11269706
    Abstract: A system for alarm correlation and aggregation. The system includes a computing device. The computing device has a process and a storage device storing computer executable code. The computer executable code, when executed at the processor, is configured to: provide a plurality of alarms triggered by components of the system; provide aggregation patterns; perform iteratively until a criterion is met: generating itemsets from the alarms using the aggregation patterns, computing a new aggregation pattern from the generated itemsets using frequent itemset mining, and updating the aggregation pattern using the new aggregation pattern to obtain updated aggregation patterns; and aggregate the alarms using the updated aggregation patterns to obtain aggregated alarms.
    Type: Grant
    Filed: July 15, 2020
    Date of Patent: March 8, 2022
    Assignees: Beijing Wodong Tianjun Information Technology Co., Ltd., JD.com American Technologies Corporation
    Inventors: Min Li, Huasong Shan, Yuan Chen, Yunpeng Zhang, Haifeng Liu, Xiaofeng He
  • Patent number: 11199583
    Abstract: The disclosure describes novel methods and apparatuses for accessing test compression architectures (TCA) in a device using either a parallel or serial access technique. The serial access technique may be controlled by a device tester or by a JTAG controller. Further the disclosure provides an approach to access the TCA of a device when the device exists in a daisy-chain arrangement with other devices, such as in a customer's system. Additional embodiments are also provided and described in the disclosure.
    Type: Grant
    Filed: October 20, 2020
    Date of Patent: December 14, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Lee D. Whetsel
  • Patent number: 11193975
    Abstract: Embodiments herein relate to apparatus, systems, and methods to compress a test pattern onto a field programmable gate array to test a device under test. This may include identifying values of a plurality of drive pins for a plurality of test cycles to apply to an input of the DUT for each of the plurality of test cycles, identifying values of a plurality of compare pins for the plurality of test cycles to compare an output of the DUT, respectively, for each of the plurality of test cycles, analyzing the identified values, compressing, based on the analysis, the values of the plurality of drive pins and the plurality of compare pins, and storing the compressed values on the FPGA.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: December 7, 2021
    Assignee: Intel Corportion
    Inventors: Christopher J. Nelson, Shelby G. Rollins, Hiren V. Tilala, Matthew Hendricks, Sundar V. Pathy, Timothy J. Callahan, Jared Pager, James Neeb, Bradly Inman, Stephen Sturges
  • Patent number: 11092650
    Abstract: A built-in self-test (BIST) method includes providing expanded test patterns to a logic circuit under test, generating a first signature based on a response of the logic circuit to the expanded test patterns, generating a second signature based on the first signature, wherein the second signature is a compressed version of the first signature, selecting one of the first signature or the second signature in response to a control signal, comparing the selected one of the first signature or the second signature to an expected signature, and, based on the comparison of the selected one of the first signature or the second signature to the expected signature, determining that the logic circuit passes or fails BIST.
    Type: Grant
    Filed: April 1, 2019
    Date of Patent: August 17, 2021
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Neil John Simpson, Alan David Hales
  • Patent number: 11057201
    Abstract: A random number sequence generation apparatus includes: a semiconductor laser device repeatedly generating a pulsed laser beam having a disordered phase; an interferometer including a first transmission line and a second transmission line, a first port connected to an input terminal side and to which the pulsed laser beam is input, a second port connected to an output terminal side and outputs the pulsed laser beam, and a third port connected to the input terminal side; a Faraday mirror connected to the second port and reflecting the pulsed laser beam; a photodiode connected to the third port and outputs an electrical signal in accordance with interference light of the pulsed laser beam that is reflected by the Faraday mirror and passes through one of the transmission lines; and an AD converter configured to generate a random number sequence on the basis of the electrical signal and a threshold.
    Type: Grant
    Filed: February 3, 2017
    Date of Patent: July 6, 2021
    Assignee: NATIONAL UNIVERSITY CORPORATION HOKKAIDO UNIVERSITY
    Inventors: Akihisa Tomita, Kensuke Nakata
  • Patent number: 11038446
    Abstract: A motor driving circuit includes a plurality of pins, a Hall sensor, a Hall signal processing portion and a driving processing circuit. The test-starting pin for receiving the test-starting signal and the test signal output pin for outputting the test signal are shared with at least one pin of the plurality of pins. The Hall sensor senses the change in the magnetic field of the motor to generate a Hall signal. The Hall signal processing unit amplifies the generated Hall signal, and the driving processing circuit drives the motor based on an output signal of the Hall signal processing unit and a control signal input from one of the plurality of pins. In a test mode, the output signal is output from the test signal output pin as a test signal. In a normal mode, at least one pin is used for normal operation.
    Type: Grant
    Filed: August 22, 2018
    Date of Patent: June 15, 2021
    Assignee: ANPEC ELECTRONICS CORPORATION
    Inventors: Kun-Min Chen, Shen-Min Lo
  • Patent number: 11010523
    Abstract: One, two, or three test pattern generation and encoding processes are performed for a circuit design to generate compressed test patterns for one or two input channel numbers. The one, two, or three test pattern generation and encoding processes are configured to minimize active input channels for each of the compressed test patterns. A test pattern count for each of a plurality of input channel numbers is determined based on the compressed test patterns for the one or two input channel numbers, a number of active input channels for each of the compressed test patterns, and an assumption of similar input data volumes for different numbers of input channels. The test pattern count information can be employed to determine an optimal number of input channels for a test decompressor.
    Type: Grant
    Filed: April 13, 2020
    Date of Patent: May 18, 2021
    Assignee: Siemens Industry Software Inc.
    Inventors: Yu Huang, Janusz Rajski, Mark A. Kassab, Wu-Tung Cheng
  • Patent number: 10998075
    Abstract: A non-limiting example includes data storage circuitry. The data storage circuitry includes a built-in self-test (BIST) engine. The data storage circuitry includes a memory array including memory cells. The memory array is configured to store data based on a read-write vector associated with an address vector that includes memory addresses and according to a bit-write vector that defines bit-write enablement for the memory addresses. The memory array is configured to output a stored data vector. The data storage circuitry includes a selector configured to receive the bit-write vector, and to output a selected vector based on an initialization vector and a comparison vector based at least in part on the bit-write vector. The data storage circuitry includes a comparator configured to receive the stored data vector and the selected vector, and to output an error based on discrepancies between the stored data vector and the selected vector.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: May 4, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: William Huott, Daniel Rodko, Pradip Patel, Matthew Steven Hyde
  • Patent number: 10978170
    Abstract: A memory device including: a loopback circuit for performing a loopback operation, wherein the loopback operation includes receiving, via a loopback channel, test signals provided from a test device and feeding back the test signals to the test device via the loopback channel; and an information management circuit for outputting information of the memory device to the loopback channel.
    Type: Grant
    Filed: September 7, 2018
    Date of Patent: April 13, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-ho Lee, Sung-joo Park, Young Yun, Yong-jin Kim, Jae-jun Lee
  • Patent number: 10908213
    Abstract: A proposed linear time compactor (LTC) with a means of significantly reducing the X-masking effect for designs with X's and supports high levels of test data compression where: 1) The LTC consists of two parts that are unloaded into a tester through an output serializer. 2) The first part is unloaded per t shift cycles while the second part is unloaded once per test pattern. 3) One part of the LTC divides scan chains into groups such that X-masking effect between groups of scan chains is impossible. 4) One part of LTC divides shift cycles into groups such that X-masking effect between groups of shift cycles is impossible. Consequently, the X-masking effect in the proposed LTC is significantly reduced.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: February 2, 2021
    Assignee: Synopsys, Inc.
    Inventors: Emil Gizdarski, Peter Wohl, John A. Waicukauski
  • Patent number: 10896120
    Abstract: Methods and systems for an automated micro-scheduler testing framework that allows tests to be automatically scheduled or rescheduled based on information such as results of previously-executed tests or other external information are provided. In large-scale development environments, where individual changes to a code repository cannot be specifically fully tested due to scalability and resource issues, micro-scheduler servers may be configured and designed to automatically identify target tests and request that the target tests be executed by a continuous integration system to automatically identify and resolve breakages introduced into a codebase managed by the continuous build system in a large-scale environment.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: January 19, 2021
    Assignee: Google LLC
    Inventors: Sanjeev Dhanda, Eric Nickell
  • Patent number: 10866280
    Abstract: A system is provided that includes a memory configured to store test patterns. A first lockstep core and a second lockstep core are configured to receive the same set of test patterns. First scan outputs are generated from the first lockstep core, and second scan outputs are generated from the second lockstep core during a reset of the first lockstep core and the second lockstep core. A comparator can be coupled to the first lockstep core and the second lockstep core and is configured to compare the first scan outputs to the second scan outputs. The first and second lockstep cores can be initialized to a similar state if the first and second scan outputs are the same. The first and second lockstep cores can comprise non-resettable flip flops.
    Type: Grant
    Filed: April 1, 2019
    Date of Patent: December 15, 2020
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Prakash Narayanan, Nikita Naresh
  • Patent number: 10804934
    Abstract: A data processing apparatus and a data processing method which enable provision of an LDPC code that achieves good error-rate performance. An LDPC encoding unit performs encoding using an LDPC code having a code length of 64800 bits and a code rate of 24/30, 25/30, 26/30, 27/30, 28/30, or 29/30. The LDPC code includes information bits and parity bits, and a parity check matrix H is composed of an information matrix portion corresponding to the information bits of the LDPC code, and a parity matrix portion corresponding to the parity bits. The information matrix portion of the parity check matrix H is represented by a parity check matrix initial value table that shows positions of elements of 1 in the information matrix portion in units of 360 columns. The apparatus and method may be applied to LDPC encoding and LDPC decoding.
    Type: Grant
    Filed: April 25, 2018
    Date of Patent: October 13, 2020
    Assignee: Saturn Licensing LLC
    Inventors: Yuji Shinohara, Makiko Yamamoto
  • Patent number: 10746694
    Abstract: Integrated circuits and methods of producing the same are provided. In an exemplary embodiment, an integrated circuit includes a detection layer, a substrate, and a transistor having a transistor gate electrode, a transistor source, and a transistor drain. A capacitor gate electrode overlies the substrate, where the capacitor gate electrode and the transistor gate electrode are electrically connected with each other and with the detection layer. A capacitor well is defined within the substrate, and a gate insulator is positioned between the capacitor well and the capacitor gate electrode. A capacitor includes the capacitor gate electrode, the gate insulator, and the capacitor well.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: August 18, 2020
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Eng Huat Toh, Bin Liu, Shyue Seng Tan, Kiok Boone Elgin Quek
  • Patent number: 10678667
    Abstract: Described herein are embodiments related to holdup self-tests in memory sub-systems for power loss operations. A processing device receives a request to perform a holdup self-test to detect a defect in a holdup circuit that powers the processing device and a memory component in the event of power loss. The processing device identifies a memory location of memory that is available and, responsive to detection of a loss of power, performs a continuous sequence of write operations to the memory location using holdup energy until all of the holdup energy is expended. After reboot, the processing device determines a number of the write operations that were successfully completed in the memory location before all of the holdup energy was expended. The processing device determines whether the number satisfies a defect criterion. Responsive to the responsive to the number satisfying the defect criterion, the processing device reports the defect associated with the holdup circuit.
    Type: Grant
    Filed: December 21, 2018
    Date of Patent: June 9, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Douglas Majerus, Brent Byron
  • Patent number: 10613832
    Abstract: A random number generation system and a random number generation method thereof are provided. The random number generation system includes a random number generator, a random number selection circuit, and a random number logic circuit. The random number generator receives the random number request signal to provide a first random number sequence with n bits, where n is a positive integer. The random number selection circuit receives the random number request signal to provide a bit selection signal with n bits, wherein the bit selection signal is a time varying signal and is determined by the received random number request signal. The random number logic circuit receives the random number request signal, the first random number sequence and the bit selection signal, and in response to the random number request signal to adjust the first random number sequence using the bit selection signal to provide the second random number sequence.
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: April 7, 2020
    Assignee: Winbond Electronics Corp.
    Inventors: Wen-Chiao Ho, Pil-Sang Ryoo
  • Patent number: 10422832
    Abstract: A sequential circuit includes a data input terminal, a data path, and a redundant feedback loop. The data input terminal receives input data. The data path is connected to the data input terminal and transmits the input data to a data output terminal based on a first clock signal and a second clock signal. The redundant feedback loop is connected to the first data path and stores first data based on at least one of the first or second clock signals when the first data is equal to second data. The first data corresponds to the input data. The second clock signal is a delayed signal of the first clock signal. The second data is delayed data of the first data.
    Type: Grant
    Filed: May 9, 2017
    Date of Patent: September 24, 2019
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Taiki Uemura
  • Patent number: 10184980
    Abstract: A system includes a multiple input signature register (MISR) to receive outputs from M different scan chains in response to N test patterns applied to test an integrated circuit. The MISR provides N test signatures for the integrated circuit based on the outputs of the M different scan chains generated in response to each of the N test patterns. Each of the scan chains holds one or more test data bits that represent behavior of the integrated circuit in response to each of the N test patterns. A shift register is loaded from an interface and holds one of N comparison signatures that is used to validate a respective one of the N test signatures generated according to a given one of the N test patterns. A comparator compares each of the N test signatures with a respective one of the N comparison signatures to determine a failure condition based on the comparison.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: January 22, 2019
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Naman Maheshwari, Wilson Pradeep, Prakash Narayanan
  • Patent number: 10107860
    Abstract: According to an embodiment of the present invention, a computer-implemented method for testing a microelectronic chip is described. The method may include dividing, via a processor running a scanning engine, a plurality of sections of the microelectronic chip. Each of the plurality of sections includes at least two latch sets in at least one scan chain. The method may further include determining, via the processor, based on the dividing, whether each of the plurality of sections fail a data test. The determining comprises interleaving the plurality of sections by scanning, via the processor, an alternating latch set from each scan chain in a first section, and scanning an alternating latch set from each scan chain in a second section.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: October 23, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Todd L. Cohen, Mary P. Kusko, Hari K. Rajeev, Timothy C. Taylor
  • Patent number: 10101389
    Abstract: A method for verifying a power-management system including a controller and a plurality of power devices, wherein the controller receives a plurality of input signals to generate a plurality of control signals to control the power devices, includes: determining each of the power devices operating in a corresponding mode when the power-management operates under a power configuration; determining a target combination of the control signals when each of the power devices operates in the corresponding mode; patternlessly verifying a behavior of the controller with an input combination of the input signals for the power-management system operating under the power configuration to generate a calculated result of the control signals; comparing the calculated result to the target combination; and determining that the input combination is valid when the calculated result is equal to the target combination.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: October 16, 2018
    Assignee: MEDIATEK INC.
    Inventor: Jian-Wei Lin
  • Patent number: 10042698
    Abstract: In an approach to cleanup of unpredictable test results, one or more computer processors generate a data area associated with a first test instruction in a test stream. The one or more computer processors determine whether the generated data area overlaps with an unpredictable data area. In response to determining the generated data area overlaps with an unpredictable data area, the one or more computer processors determine a second test instruction associated with the overlapped unpredictable data area, where the second test instruction precedes the first test instruction in the test stream. The one or more computer processors select a location in the test stream between the first test instruction and the second test instruction. The one or more computer processors insert one or more pre-requisite instructions in the selected location, where the one or more pre-requisite instructions load the overlapped unpredictable data area with pre-defined data.
    Type: Grant
    Filed: December 28, 2017
    Date of Patent: August 7, 2018
    Assignee: International Business Machines Corporation
    Inventor: Louis P. Gomes
  • Patent number: 10018671
    Abstract: A number of switching transitions of flip-flops during testing is kept below a threshold. Scan-in test data is applied to the flip-flops. Testing result data scanned-out from the flip-flops is captured, and a prediction is made of a number of switching transitions of the flip-flops between a current capture clock cycle and a next capture clock cycle. Furthermore, the testing setup values are modified before the next testing cycle is executed based on the prediction.
    Type: Grant
    Filed: March 9, 2017
    Date of Patent: July 10, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Satya Rama S. Bhamidipati, Mary P. Kusko, Cedric Lichtenau
  • Patent number: 10018672
    Abstract: A number of switching transitions of flip-flops during testing is kept below a threshold. Scan-in test data is applied to the flip-flops. Testing result data scanned-out from the flip-flops is captured, and a prediction is made of a number of switching transitions of the flip-flops between a current capture clock cycle and a next capture clock cycle. Furthermore, the testing setup values are modified before the next testing cycle is executed based on the prediction.
    Type: Grant
    Filed: March 9, 2017
    Date of Patent: July 10, 2018
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Satya Rama S. Bhamidipati, Mary P. Kusko, Cedric Lichtenau
  • Patent number: 9448284
    Abstract: An embodiment provides a circuit for testing an integrated circuit. The circuit includes an input converter that receives N scan inputs and generates M pseudo scan inputs, where M and N are integers. A scan compression architecture is coupled to the input converter and generates P pseudo scan outputs in response to the M pseudo scan inputs. An output converter is coupled to the scan compression architecture and generates Q scan outputs in response to the P pseudo scan outputs, wherein P and Q are integers. The input converter receives the N scan inputs at a first frequency and generates the M pseudo scan inputs at a second frequency and the output converter receives the P pseudo scan outputs at the second frequency and generates the Q scan outputs at the first frequency.
    Type: Grant
    Filed: May 8, 2014
    Date of Patent: September 20, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Sreenath Narayanan Potty, Rajesh Mittal, Mudasir Shafat Kawoosa, Vivek Singhal
  • Patent number: 9443611
    Abstract: According to an embodiment, a semiconductor integrated circuit includes a memory, a bypass circuit, a first selection unit, a compression unit, and a comparison unit. The bypass circuit bypasses the test signal to output a bypass signal. When the memory is tested using a BIST circuit, the first selection unit selects a memory signal output from the memory in response to the test signal. When the BIST circuit is tested, the first selection unit selects the bypass signal. If the memory is tested, the compression unit holds a signal output from the first selection unit and if the BIST circuit is tested, the compression unit compresses and holds the signal output from the first selection unit. The comparison unit compares the signal held in the compression unit with an expectation value signal of the memory signal which is generated in the BIST circuit.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: September 13, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Chikako Tokunaga, Kenichi Anzou
  • Patent number: 9224503
    Abstract: Systems and methods are provided for reusing existing test structures and techniques used to test memory data to also test error correction code logic surrounding the memories. A method includes testing a memory of a computing system with an error code correction (ECC) logic block bypassed and a first data pattern applied. The method further includes testing the memory with the ECC logic block enabled and a second data pattern applied. The method also includes testing the memory with the ECC logic block enabled and the first data pattern applied.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: December 29, 2015
    Assignee: International Business Machines Corporation
    Inventors: Kevin W. Gorman, Michael R. Ouellette, Patrick E. Perry
  • Patent number: 9003248
    Abstract: Aspects of the invention relate to using fault-driven techniques to generate scan chain configurations for test-per-clock. A plurality of test cubes that detect a plurality of faults are first generated. Scan chains for loading specified bits of the test cubes are then assigned to a stimuli group. From the plurality of test cubes, a test cube that detects a large number of faults that do not propagate exclusively to scan chains in the stimuli group is selected. One or more scan chains that are not in the stimuli group and are needed for observing the large number of faults are assigned to a compacting group. The number of scan chains either in the compacting group or in both of the compacting group and the stimuli group may be limited to a predetermined number.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: April 7, 2015
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Jedrzej Solecki, Jerzy Tyszer, Grzegorz Mrugalski
  • Patent number: 8996941
    Abstract: Background scan cells are selected from scan cells in a circuit based on specified bit distribution information for a plurality of test cubes generated for testing the circuit. A main portion and a background portion are then determined for each test cube in the plurality of test cubes. The background portion corresponds to the background scan cells. Test cubes in the plurality of test cubes that have compatible main portions are merged into test cube groups. Each test cube group in the test cube groups comprises a main test cube and background test cubes. A main test cube, supplied by a tester or a decompressor, may be shifted into the scan chains. A background test cube may be shifted into background chains and be inserted into the main test cube in the scan chains based on control signals.
    Type: Grant
    Filed: June 10, 2013
    Date of Patent: March 31, 2015
    Assignee: Mentor Graphics Corporation
    Inventors: Xijiang Lin, Janusz Rajski
  • Patent number: 8943377
    Abstract: An integrated circuit includes an LBIST controller operative to run a test program on at least one selection of core logic of the integrated circuit to test the operability of the at least one selection of core logic. The integrated circuit also includes a monitoring logic structure operative to detect at least one type of operation executed for the test program from at least one particular control signal activated by the LBIST controller for controlling the at least one selection of core logic to execute the test program from among at least one control signal for controlling operations on the at least one selection of core logic.
    Type: Grant
    Filed: August 15, 2012
    Date of Patent: January 27, 2015
    Assignee: International Business Machines Corporation
    Inventors: Michael W. Harper, Mack W. Riley
  • Patent number: 8914689
    Abstract: A method is provided to test a modular integrated circuit (IC) comprising: testing a module-under-test (MUT) within the IC while causing a controlled toggle rate within a first neighbor module of the MUT; wherein the controlled toggle rate within the first neighbor module is selected so that toggling within the first neighbor module has substantially the same effect upon operation of the MUT that operation of the first neighbor module would have during actual normal functional operation of the first neighbor module.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: December 16, 2014
    Assignees: Cadence Design Systems, Inc., IMEC
    Inventors: Erik Jan Marinissen, Sergej Deutsch
  • Patent number: 8887015
    Abstract: An arithmetic processor executes analysis processing for analyzing a probability that an output value of the scan flip-flop circuit after the capturing operation becomes a given logical state, and scan chain structure processing for structuring a scan chain for a plurality of scan flip-flop circuits having the same degree of probability that the output value after the capturing operation becomes the given logical state, on the basis of a result of the analyzing processing. The scan chain lower in a transition probability during the scan operation is formed so that a power consumption during a scan test can be reduced.
    Type: Grant
    Filed: July 9, 2012
    Date of Patent: November 11, 2014
    Assignee: Renesas Electronics Corporation
    Inventors: Hiroyuki Iwata, Jun Matsushima
  • Patent number: 8843802
    Abstract: The present invention relates to coding method and coding device that allow Rate-Compatible LDPC (low-density parity-check) codes to have favorable BER performance both with a low code rate and with a high code rate. In coding of LDPC codes that have plural code rates and whose all parity check matrices are composed of plural cyclic matrices, a coder 121 performs the coding in such a way that 1<w0 and w1<w0 are satisfied when the maximum column weight of the cyclic matrices in the check matrix of a certain code whose code rate is not the minimum value among the LDPC codes is defined as w0 and the maximum column weight of the cyclic matrices in the check matrix of a code having a code rate lower than that of the certain code is defined as w1.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: September 23, 2014
    Assignee: Sony Corporation
    Inventor: Makoto Noda
  • Patent number: 8843797
    Abstract: A method for detecting unstable signatures when testing a VLSI chip that includes adding to an LFSR one or more save and restore registers for storing an initial seed consisting of 0s and 1s; loading the initial seed into the LFSR and one or more save and restore registers; initializing a MISR and running test loops. Upon reaching a predetermined number of test loops, moving a signature of the MISR to a shadow register; then, performing a signature stability test by loading the initial seed to the LFSR; executing the predetermined number of BIST test loops, and comparing a resulting MISR signature for differences versus a previous signature stored in a MISR save and restore register, wherein unloading is performed by way of serial MISR unloads and single bit XORs.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: September 23, 2014
    Assignee: International Business Machines Corporation
    Inventors: Franco Motika, Raymond J. Kurtulik, John D. Parker
  • Patent number: 8762803
    Abstract: A method and circuit for implementing enhanced Logic Built In Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides are provided. A plurality of pseudo random pattern generators (PRPGs) is provided, each PRPG comprising one or more linear feedback shift registers (LFSRs). Each respective PRPG includes an XOR feedback input selectively receiving a feedback from another PRPG and predefined inputs of the respective PRPG. A respective XOR spreading function is coupled to a plurality of outputs of each PRPG with predefined XOR spreading functions applying test pseudo random pattern inputs to LBIST channels for LBIST diagnostics.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: June 24, 2014
    Assignee: International Business Machines Corporation
    Inventors: Steven M. Douskey, Ryan A. Fitch, Michael J. Hamilton, Amanda R. Kaufer
  • Publication number: 20140157067
    Abstract: A device under test has a connection interface, a controller, and a functional block. The connection interface is used to receive a test pattern transmitted at a first clock rate and output a functional test result. The controller is used to sample the test pattern by using a second clock rate and accordingly generate a sampled test pattern, wherein the second clock rate is higher than the first clock rate. The functional block is used to perform a designated function upon the sampled test pattern and accordingly generate the functional test result.
    Type: Application
    Filed: November 25, 2013
    Publication date: June 5, 2014
    Applicant: Silicon Motion Inc.
    Inventor: Tsung-Chieh Yang
  • Publication number: 20140143623
    Abstract: A low-pin-count scan compression method and apparatus for reducing test data volume and test application time in a scan-based integrated circuit. The scan-based integrated circuit contains one or more scan chains, each scan chain comprising one or more scan cells coupled in series. The method and apparatus includes a programmable pipelined decompressor comprising one or more shift registers, a combinational logic network, and an optional scan connector. The programmable pipelined decompressor decompresses a compressed scan pattern on its compressed scan inputs and drives the generated decompressed scan pattern at the output of the programmable pipelined decompressor to the scan data inputs of the scan-based integrated circuit. Any input constraints imposed by said combinational logic network are incorporated into an automatic test pattern generation (ATPG) program for generating the compressed scan pattern for one or more selected faults in one-step.
    Type: Application
    Filed: November 20, 2012
    Publication date: May 22, 2014
    Applicant: Syntest Technologies, Inc.
    Inventors: Nur A. TOUBA, Laung-Terng WANG, Shianling WU
  • Patent number: 8726113
    Abstract: Built-in self-test techniques for integrated circuits that address the issue of unknown states. Some implementations use a specialized scan chain selector coupled to a time compactor. The presence of the specialized scan chain selector increases the efficiency in masking X states. Also disclosed are: (1) an architecture of a selector that works with multiple scan chains and time compactors, (2) a method for determining and encoding per cycle scan chain selection masks used subsequently to suppress X states, and (3) a method to handle an over-masking phenomenon.
    Type: Grant
    Filed: April 23, 2012
    Date of Patent: May 13, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Dariusz Czysz, Grzegorz Mrugalski, Nilanjan Mukherjee, Jerzy Tyszer
  • Patent number: 8707114
    Abstract: A semiconductor device includes a decoder, a first register unit, and a second register unit. The decoder generates first and second register control signals in response to an external test code signal. The first register unit is coupled to the decoder. The first register unit receives the first register control signal from the decoder. The first register unit outputs in series a plurality of test signals in response to the first register control signal. The second register unit is coupled to the first register unit. The second register unit receives the first and second register control signals from the decoder. The second register unit receives in series the plurality of test signals from the first register unit in response to the first register control signal. The second register unit outputs in parallel the plurality of test signals in response to the second register control signal.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: April 22, 2014
    Inventor: Hiromasa Noda
  • Patent number: 8694951
    Abstract: An apparatus having a core and one or more logic blocks is disclosed. The core may be embedded within the apparatus. The core is generally (i) configured to perform a function and (ii) wrapped internally by a first scan chain before being embedded within the apparatus. The logic blocks may be (i) positioned external to the core and (ii) coupled to one or more parallel interfaces of the first scan chain. A second scan chain may be configured to wrap both the logic blocks and the core.
    Type: Grant
    Filed: October 2, 2012
    Date of Patent: April 8, 2014
    Assignee: LSI Corporation
    Inventors: Narendra B. Devta Prasanna, Saket K. Goyal, Vankat Rajesh Atluri
  • Patent number: 8689067
    Abstract: A system and method for detecting transition delay faults decouples the test enable pins of the clock gating cells from other elements in the circuitry. The test enable pins are controlled during test mode by a unique signal, allowing the tester to independently control the clock gating logic of the circuitry. By being able to ungate the clock, the tester can ensure that the two clock pulses needed to check for transition delay faults will always be present.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: April 1, 2014
    Assignee: Marvell International Ltd.
    Inventor: Darren Bertanzetti