Random Pattern Generation (includes Pseudorandom Pattern) Patents (Class 714/728)
  • Patent number: 8694951
    Abstract: An apparatus having a core and one or more logic blocks is disclosed. The core may be embedded within the apparatus. The core is generally (i) configured to perform a function and (ii) wrapped internally by a first scan chain before being embedded within the apparatus. The logic blocks may be (i) positioned external to the core and (ii) coupled to one or more parallel interfaces of the first scan chain. A second scan chain may be configured to wrap both the logic blocks and the core.
    Type: Grant
    Filed: October 2, 2012
    Date of Patent: April 8, 2014
    Assignee: LSI Corporation
    Inventors: Narendra B. Devta Prasanna, Saket K. Goyal, Vankat Rajesh Atluri
  • Patent number: 8689067
    Abstract: A system and method for detecting transition delay faults decouples the test enable pins of the clock gating cells from other elements in the circuitry. The test enable pins are controlled during test mode by a unique signal, allowing the tester to independently control the clock gating logic of the circuitry. By being able to ungate the clock, the tester can ensure that the two clock pulses needed to check for transition delay faults will always be present.
    Type: Grant
    Filed: May 13, 2013
    Date of Patent: April 1, 2014
    Assignee: Marvell International Ltd.
    Inventor: Darren Bertanzetti
  • Patent number: 8689066
    Abstract: A method of implementing integrated circuit device testing includes performing baseline testing of a first group of chips using a full set of test patterns, and for chip identified as failing, determining, a score for each test pattern in the full set. The score is indicative of an ability of the test pattern to uniquely identify a failing chip with respect to other test patterns. Following the baseline testing, streamlined testing on a second group of chips is performed, using a reduced set of the test patterns having highest average scores as determined by the baseline testing. Following the streamlined testing, full testing on a third group of chips is performed using the full set of test patterns, and updating the average score for each pattern. Further testing alternates between the streamlined testing and the full testing for additional groups of chips.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: April 1, 2014
    Assignee: International Business Machines Corporation
    Inventors: Matthew S. Grady, Mark C. Johnson, Bradley D. Pepper, Dean G. Percy, Joseph C. Pranys
  • Patent number: 8683280
    Abstract: Aspects of the invention relate to low power BIST-based testing. A low power test generator may comprise a pseudo-random pattern generator unit, a toggle control unit configured to generate toggle control data based on bit sequence data generated by the pseudo-random pattern generator unit, and a hold register unit configured to generate low power test pattern data by replacing, based on the toggle control data received from the toggle control unit, data from some or all of outputs of the pseudo-random pattern generator unit with constant values during various time periods. The low power test generator may further comprise a phase shifter configured to combine bits of the low power test pattern data for driving scan chains.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: March 25, 2014
    Assignee: Mentor Graphics Corporation
    Inventors: Janusz Rajski, Jerzy Tyszer, Grzegorz Mrugalski, Benoit Nadeau-Dostie
  • Patent number: 8627163
    Abstract: Improved apparatus, systems and methods, such as those for testing an error correction code (ECC) encoder/decoder for solid-state memory devices, are provided. In one or more embodiments, the improved systems and methods deliberately inject errors into memory storage areas of memory devices to test the operation of the ECC encoder/decoder.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: January 7, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Yutaka Ito, Adrian Drexler, Brandi Jones
  • Publication number: 20130268818
    Abstract: A method for providing ordered capture clocks to detect or locate faults within N clock domains and faults crossing any two clock domains in an integrated circuit or circuit assembly in scan-test or self-test mode, where N>1, each clock domain having one capture clock and a plurality of scan cells, each capture clock comprising a plurality of capture clock pulses; said method comprising: (a) generating and shifting-in N test stimuli to all said scan cells within said N clock domains in said integrated circuit or circuit assembly during a shift-in operation; (b) applying an ordered sequence of capture clocks to all said scan cells within said N clock domains, the ordered sequence of capture clocks comprising at least a plurality of capture clock pulses from two or more selected capture clocks placed in a sequential order such that all clock domains are never triggered simultaneously during a capture operation; and (c) analyzing output responses of all said scan cells to locate any faults therein.
    Type: Application
    Filed: May 15, 2013
    Publication date: October 10, 2013
    Inventors: Laung-Terng Wang, Po-Ching Hsu, Shih-Chia Kao, Meng-Chyi Lin, Hsin-Po Wang, Hao-Jan Chao, Xiaqing Wen
  • Patent number: 8549369
    Abstract: A semiconductor-based test device includes a plurality of testing clusters and a pseudorandom global stimulus source coupled to the testing clusters. Each testing cluster includes a plurality of data registers and logic elements configured to perform random logic functions for generating test data for the plurality of data registers. The pseudorandom global stimulus source generates a pseudorandom binary stimulus for the logic elements. At least some of the plurality of testing clusters are coupled together to support inter-cluster fan-out and fan-in of data register output.
    Type: Grant
    Filed: May 26, 2011
    Date of Patent: October 1, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventor: Christian Haufe
  • Patent number: 8543876
    Abstract: A design for test (DFT) circuitry which delivers serial data serially is disclosed. The DFT circuit has a transceiver to receive serial data and then deserialize the serial data into deserialize data. The DFT circuit also has a control logic block which receives the deserialize data and stimulates at least one test element with the test data. The test element will generate an output response from the stimulus. The DFT circuit also has an output response block which receives the output from the test element and analyses the output response. Utilizing this DFT circuitry, a high speed data delivery method can be used for testing a device-under-test (DUT). Such method could reduce test time and the test cost associated with test process.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: September 24, 2013
    Assignee: Altera Corporation
    Inventor: Adam J. Wright
  • Patent number: 8543887
    Abstract: The present invention relates to coding method and coding device that allow Rate-Compatible LDPC (low-density parity-check) codes to have favorable BER performance both with a low code rate and with a high code rate. In coding of LDPC codes that have plural code rates and whose all parity check matrices are composed of plural cyclic matrices, a coder 121 performs the coding in such a way that 1<w0 and w1<w0 are satisfied when the maximum column weight of the cyclic matrices in the check matrix of a certain code whose code rate is not the minimum value among the LDPC codes is defined as w0 and the maximum column weight of the cyclic matrices in the check matrix of a code having a code rate lower than that of the certain code is defined as w1.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: September 24, 2013
    Assignee: Sony Corporation
    Inventor: Makoto Noda
  • Patent number: 8543877
    Abstract: Utilize a pattern generator to write a predetermined logic voltage to each memory cell of a memory chip. Read a predetermined logic voltage stored in the memory cell. Compare the predetermined logic voltage stored in the memory cell with the predetermined logic voltage to determine if the memory cell is a good memory cell or not and store a determination result corresponding to the memory cell in a data latch of the memory chip. And determine if the memory chip is a good memory chip or not according to determination results of all memory cells of the memory chip stored in the data latch of the memory chip.
    Type: Grant
    Filed: November 7, 2011
    Date of Patent: September 24, 2013
    Assignee: Etron Technology, Inc.
    Inventors: Wei-Ju Chen, Shi-Huei Liu, Lien-Sheng Yang
  • Patent number: 8468433
    Abstract: Embodiments of the invention are generally directed to systems, methods, and apparatuses for optimizing the size of memory devices used for error correction code storage. An apparatus (such as a memory module) may include a number of memory devices to store data and a memory device to store error correction (ECC) bits. In some embodiments, the memory devices to store data may have a density of N and the memory device to store ECC bits has a density of ½ N.
    Type: Grant
    Filed: January 26, 2012
    Date of Patent: June 18, 2013
    Assignee: Intel Corporation
    Inventors: Kuljit S. Bains, Joe H. Salmon
  • Patent number: 8464115
    Abstract: Scan testing and scan compression are key to realizing cost reduction and shipped quality. New defect types in ever more complex designs require increased compression. However, increased density of unknown (X) values reduces effective compression. A scan compression method can achieve very high compression and full coverage for any density of unknown values. The described techniques can be fully integrated in the design-for-test (DFT) and automatic test pattern generation (ATPG) flows. Results from using these techniques on industrial designs demonstrate consistent and predictable advantages over other methods.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: June 11, 2013
    Assignee: Synopsys, Inc.
    Inventors: Peter Wohl, John A. Waicukauski, Frederic J. Neuveux
  • Patent number: 8443246
    Abstract: A system and method for detecting transition delay faults decouples the test enable pins of the clock gating cells from other elements in the circuitry. The test enable pins are controlled during test mode by a unique signal, allowing the tester to independently control the clock gating logic of the circuitry. By being able to ungate the clock, the tester can ensure that the two clock pulses needed to check for transition delay faults will always be present.
    Type: Grant
    Filed: January 27, 2011
    Date of Patent: May 14, 2013
    Assignee: Marvell International Ltd.
    Inventor: Darren Bertanzetti
  • Patent number: 8429472
    Abstract: Provided are a generation device to reduce launch switching activity, yield loss risk, and power consumption of testing, even in the at-speed scan testing, even with a small number of don't-care (X) bits in input bits as in test compression, without any impact on test data volume, fault coverage, performance, and circuit design, by putting focus on internal lines in the circuit. The generation device includes a target internal line selection unit, a target internal line distinction unit, an identification unit that identifies a bit to be an unspecified bit and a bit to be a logic bit in the input bits, and an assignment unit that assigns a logic value 1 or a logic value 0 to unspecified bits in the input bits. The identification unit includes an unspecified bit identification unit and an input logic bit identification unit.
    Type: Grant
    Filed: July 30, 2009
    Date of Patent: April 23, 2013
    Assignee: National University Corporation Kyushu University Institute of Technology
    Inventors: Kohei Miyase, Xiaoqing Wen, Seiji Kajihara, Yuta Yamato
  • Patent number: 8429473
    Abstract: An improved compression technique can increase PRPG-based compression by modifying test generation so that justification of certain decision nodes, called xheadlines, is delayed and merged with PRPG seed computation. Xheadlines are defined by gate modification restrictions, dynamic value considerations, and fanout allowance. Before mapping, the xheadlines can be preprocessed. This preprocessing can include transforming XOR xheadlines having shared inputs, augmenting AND/OR xheadlines, and reducing AND/OR xheadlines with common inputs. Mapping can include determining which xheadlines are satisfied by a current seed, which xheadlines can be satisfied by a future seed, and which xheadlines can opportunistically be satisfied by the current seed.
    Type: Grant
    Filed: December 15, 2010
    Date of Patent: April 23, 2013
    Assignee: Synopsys, Inc.
    Inventors: Peter Wohl, John A. Waicukauski
  • Patent number: 8407542
    Abstract: A method and circuit are provided for implementing switching factor reduction in Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides. Switching factor reduction logic is coupled to a Pseudo-Random Pattern Generator (PRPG) providing channel input patterns to a plurality of LBIST channels used for the LBIST diagnostics. The switching factor reduction logic selectively provides controlled channel input patterns for each of the plurality of channels.
    Type: Grant
    Filed: July 27, 2010
    Date of Patent: March 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Steven Michael Douskey, Ryan Andrew Fitch, Michael John Hamilton, Amanda Renee Kaufer
  • Patent number: 8374823
    Abstract: A method and apparatus are provided for monitoring a parameter such as temperature in a system such as a computer server. The method involves defining a monitoring range for the parameter. Typically, the parameter initially lies within the monitoring range. The parameter is then tracked by determining whenever the parameter exceeds an upper or lower limit of the monitoring range. If such a limit is reached, the monitoring range is adjusted to try to accommodate the parameter within the adjusted monitoring range. A time history of the monitoring range may be recorded, including details of all the adjustments of the monitoring range. This allows the behavior of the parameter to be subsequently investigated for diagnostic purposes.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: February 12, 2013
    Assignee: Oracle America, Inc.
    Inventor: Emrys J. Williams
  • Patent number: 8359456
    Abstract: Testing a circuit in a post-silicon stage is performed by enabling the different processing entities of the circuit to determine a consistent access permissions schema in a random manner. Based upon the consistent access permissions schema, addresses to be accessed during the testing of the circuit may be determined. The addresses may be determined in a random manner. The consistent permissions schema may be determined based on a template representative of repetitive portions of access permissions schema. The disclosed subject matter may utilize biasing modules to bias the test generation to provide a test having a predetermined characteristic. The disclosed subject matter may utilize a joint random seed or other techniques to provide for consistent random decisions by the different processing entities.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: January 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Allon Adir, Gil Shurek
  • Patent number: 8352818
    Abstract: A method for generating a test pattern set for detecting small delay defects of an IC is disclosed. In one embodiment, the method includes: (1) generating a traditional delay fault pattern, (2) fault grading the traditional delay fault pattern for small delay defect coverage, (3) reporting faults detected by the fault grading and delay information associated with the detected faults, (4) determining which of the detected faults are timing-aware target faults employing the delay information and (5) generating timing-aware delay fault patterns for the timing-aware target faults.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: January 8, 2013
    Assignee: LSI Corporation
    Inventors: Sandeep Kumar Goel, Narendra B. Devta-Prasanna, Ritesh P. Turakhia
  • Patent number: 8347156
    Abstract: A test system for performing tests on devices under test (DUTs) includes a storage device storing test data for performing the tests on the DUTs, a shared processor for generating the test data, storing the test data in the storage device and generating a test control signal including one or more test instructions for executing the tests, and, for each DUT, a dedicated processor configured to receive a test control signal from the shared processor, and in response to the test control signal, transfer the test data for one of the test instructions to the DUT to execute that test instruction and verify the completion of that test instruction.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: January 1, 2013
    Assignee: Advantest (Singapore) PTE LTD
    Inventors: Erik H. Volkerink, Edmundo De La Puente
  • Publication number: 20120304030
    Abstract: A semiconductor-based test device includes a plurality of testing clusters and a pseudorandom global stimulus source coupled to the testing clusters. Each testing cluster includes a plurality of data registers and logic elements configured to perform random logic functions for generating test data for the plurality of data registers. The pseudorandom global stimulus source generates a pseudorandom binary stimulus for the logic elements. At least some of the plurality of testing clusters are coupled together to support inter-cluster fan-out and fan-in of data register output.
    Type: Application
    Filed: May 26, 2011
    Publication date: November 29, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Christian HAUFE
  • Patent number: 8301979
    Abstract: Data stored in memory is decoded using iterative probabilistic decoding and multiple decoders. A first decoder attempts to decode a representation of a codeword. If the attempt is unsuccessful, a second decoder attempts to decode the representation of a codeword. The second decoder may have a lower resolution than the first decoder. Probability values such as logarithmic likelihood ratio (LLR) values may be clipped in the second decoder. This approach can overcome trapping sets while exhibiting low complexity and high performance. Further, it can be implemented on existing decoders such as those used in current memory devices.
    Type: Grant
    Filed: October 7, 2009
    Date of Patent: October 30, 2012
    Assignee: SanDisk IL Ltd.
    Inventors: Eran Sharon, Idan Alrod, Ariel Navon, Opher Lieber
  • Patent number: 8286042
    Abstract: This invention generates the random seed patterns using simple, low-area overhead digital circuitry on-chip. This circuit is implemented as a finite state machine whose states are the seeds as contrasted to storing the seeds in the prior art. These seeds are used to control pseudo-random pattern generation for built-in self-tests. This invention provides a large reduction in chip area in comparison with storing seeds on-chip or off-chip.
    Type: Grant
    Filed: February 22, 2010
    Date of Patent: October 9, 2012
    Assignee: Texas Instruments Incorporated
    Inventors: Swathi Gangasani, Srinivasulu Alampally, Divya Divakaran, Rubin Ajit Parekhji, Amit Kumar Dutta, Srivaths Ravi
  • Patent number: 8250420
    Abstract: An integrated circuit (IC) is disclosed that comprises a circuit portion (100) having a plurality of inputs (102) and a plurality of outputs (106), the plurality of inputs being arranged to receive a test pattern in a test mode of the integrated circuit, the test pattern comprising a plurality of test vectors for feeding to the plurality of inputs in successive clock cycles. The IC also comprises a test arrangement for testing the circuit portion (100), comprising a test pattern generator (110) for generating the test pattern, masking logic (150) for masking selected outputs of the plurality of outputs (106) and a signal generator (130) coupled to the masking logic (150) for generating a masking signal triggering the masking of all of said circuit portion outputs during selected cycles of the successive clock cycles, the signal generator (130) being responsive to clock cycle selection data (s1-st).
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: August 21, 2012
    Assignee: NXP B.V.
    Inventors: Friedrich Hapke, Michael Wittke, Juergen Schloeffel
  • Patent number: 8195995
    Abstract: A integrated circuit comprises a circuit part to be protected and protective lines located at least one wiring level of the integrated circuit. In addition, the integrated circuit comprises logical gates coupled to the protective lines, whereby a logic circuit is formed, and a processing unit implemented to detect a manipulation of the integrated circuit by applying test patterns to the logic circuit and verifying a logic output value of the logic circuit responsive to the test patterns.
    Type: Grant
    Filed: July 2, 2008
    Date of Patent: June 5, 2012
    Assignee: Infineon Technologies AG
    Inventors: Marcus Janke, Korbinian Engl
  • Patent number: 8185863
    Abstract: A delay fault test quality calculation apparatus for calculating delay fault test quality to be achieved by a test pattern to be applied to a semiconductor integrated circuit includes a defect distribution extraction unit, a delay fault-layout element information extraction unit, and a weighting unit. The delay fault test quality calculation apparatus further includes a delay fault test quality calculation unit which calculates the delay fault test quality on the basis of delay design information of the semiconductor integrated circuit, detection information of the test pattern to test the semiconductor integrated circuit, execution conditions of the test, a physical defect distribution extracts the defect distribution extraction unit, and a weights adds the weighting unit.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: May 22, 2012
    Assignees: Kabushiki Kaisha Toshiba, Fujitsu Semiconductor Limited
    Inventors: Yasuyuki Nozuyama, Atsuo Takatori
  • Patent number: 8127187
    Abstract: An apparatus and a method for enhancing the use of automated test equipment (ATE), are presented. The apparatus comprises a test load board that mounts a plurality of devices to be tested (DUTs), and a daughter card communicating with the test board and the ATE, testing each of the plurality of devices, and providing test results to the ATE. The method comprises mounting a plurality of devices to be tested on the test load board, using the daughter card to communicate with the test board and the ATE, and using the daughter card for testing each of the plurality of DUTs, providing test results to the ATE. Also provided is a system to perform automated tests of integrated chips, comprising an ATE scan test unit, an off-load tester resource coupled to the ATE scan test unit, a processor executing commands to control the ATE unit and the off-load tester resource.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: February 28, 2012
    Assignee: Integrated Device Technology, Inc.
    Inventors: Yu Xia, Dale Ventura, Ashok Ramachandran
  • Patent number: 8108761
    Abstract: Systems, methods, and apparatuses for optimizing the size of memory devices used for error correction code storage. An apparatus (such as a memory module) may include a number of memory devices to store data and a memory device to store error correction (ECC) bits. The memory devices to store data may have a density of N and the memory device to store ECC bits has a density of ½ N.
    Type: Grant
    Filed: August 23, 2007
    Date of Patent: January 31, 2012
    Assignee: Intel Corporation
    Inventors: Kuljit S. Bains, Joe H. Salmon
  • Patent number: 8069378
    Abstract: A method and apparatus for evaluating and optimizing a signaling system is described. A pattern of test information is generated in a transmit circuit of the system and is transmitted to a receive circuit. A similar pattern of information is generated in the receive circuit and used as a reference. The receive circuit compares the patterns. Any differences between the patterns are observable. In one embodiment, a linear feedback shift register (LFSR) is implemented to produce patterns. An embodiment of the present disclosure may be practiced with various types of signaling systems, including those with single-ended signals and those with differential signals. An embodiment of the present disclosure may be applied to systems communicating a single bit of information on a single conductor at a given time and to systems communicating multiple bits of information on a single conductor simultaneously.
    Type: Grant
    Filed: June 14, 2010
    Date of Patent: November 29, 2011
    Assignee: Rambus Inc.
    Inventors: Jared Zerbe, Pak Shing Chau, William Franklin Stonecypher
  • Patent number: 8065120
    Abstract: The design support system regarding the present invention comprises a node data storage means that stores node data to generate functional models which present a group of function nodes which are functions divided from performance function of apparatus which is an objective to be designed and a group of part nodes which realize the functions in mutual relations, a functional model generating means that generates the functional models in reference to the node data storage means, a node designation receiving means that receives the designation of a node among the functional models, a node selection means that selects a node which has a mutual relation with nodes to which the designation are given, a display unit that displays the node selected by the node selection means.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: November 22, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Shinya Yuda, Norito Watanabe, Shigetoshi Sakimura, Shunsuke Minami
  • Patent number: 8051403
    Abstract: A delay fault test quality calculation apparatus for calculating delay fault test quality to be achieved by a test pattern to be applied to a semiconductor integrated circuit includes a defect distribution extraction unit, a delay fault-layout element information extraction unit, and a weighting unit. The delay fault test quality calculation apparatus further includes a delay fault test quality calculation unit which calculates the delay fault test quality on the basis of delay design information of the semiconductor integrated circuit, detection information of the test pattern to test the semiconductor integrated circuit, execution conditions of the test, a physical defect distribution extracts the defect distribution extraction unit, and a weights adds the weighting unit.
    Type: Grant
    Filed: November 20, 2007
    Date of Patent: November 1, 2011
    Assignees: Kabushiki Kaisha Toshiba, Fujitsu Semicondoctor Limited
    Inventors: Yasuyuki Nozuyama, Atsuo Takatori
  • Publication number: 20110258503
    Abstract: Scan testing and scan compression are key to realizing cost reduction and shipped quality. New defect types in ever more complex designs require increased compression. However, increased density of unknown (X) values reduces effective compression. A scan compression method can achieve very high compression and full coverage for any density of unknown values. The described techniques can be fully integrated in the design-for-test (DFT) and automatic test pattern generation (ATPG) flows. Results from using these techniques on industrial designs demonstrate consistent and predictable advantages over other methods.
    Type: Application
    Filed: June 29, 2011
    Publication date: October 20, 2011
    Applicant: Synopsys, Inc.
    Inventors: Peter Wohl, John A. Waicukauski, Frederic J. Neuveux
  • Patent number: 8037384
    Abstract: A semiconductor device includes a test target circuit; scan chains that enable scanning of the test target circuit; a first random number generation circuit that forms test patterns supplied to the scan chains; a second random number generation circuit that is provided separately from the first random number generation circuit; and a random number control circuit that uses the random numbers generated by the second random number generation circuit to change the random numbers generated by the first random number generation circuit. In a test of the semiconductor device, since a period of a clock of a scan chain does not need to be longer than that of a clock of a pattern generator, the number of clocks of the pattern generator needed for a test can be prevented from increasing. Accordingly, a test time can be prevented from increasing.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: October 11, 2011
    Assignee: Hitachi, Ltd.
    Inventors: Takumi Hasegawa, Motoyuki Sato, Tomoji Nakamura, Nobuo Konami, Jun Matsushima
  • Patent number: 8037387
    Abstract: Provided are a conversion device and the like for converting a initial test pattern given in advance into a test pattern of a bit constitution of different logic values, without losing the fault coverage of transition delay fault which can be detected by the constitution element of the initial test pattern. The conversion device converts an initial test pattern 100a given in advance for a logic circuit into an intermediate test pattern 100b of a bit constitution of different logic values, where the constitution elements of the initial test pattern 100a are at least two test vectors applied in succession. The conversion device includes a decision means for deciding a combination of logic values in the initial test pattern 100a which meet a detection condition of faults of the logic circuit which can be detected by applying the constitution elements.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: October 11, 2011
    Assignees: Japan Science & Technology Agency, Kyushu Institute of Technology, System JD Co., Ltd.
    Inventors: Seiji Kajihara, Kohei Miyase, Xiaqing Wen, Yoshihiro Minamoto, Hiroshi Date
  • Patent number: 8024629
    Abstract: An I/O compression apparatus, for testing a memory array and/or a logic circuit, is comprised of a selectable compression circuit that outputs compressed test data from the memory array/logic circuit. An I/O scan register is coupled to each I/O pad for converting serial data to parallel and parallel data to serial in response to a test mode select signal, a test data input, and a test clock.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: September 20, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Benjamin Louie, Scott N. Gatzemeier, Adam Johnson, Frankie F. Roohparvar
  • Patent number: 8015514
    Abstract: Disclosed are embodiments of a method for randomly personalizing chips during fabrication, a personalized chip structure and a design structure for such a personalized chip structure. The embodiments use electronic device design and manufacturing processes to randomly or pseudo-randomly create a specific variation in one or more instances of a particular electronic device formed on each chip. The device design and manufacturing processes are tuned so that the specific variation occurs with some predetermined probability, resulting in a desired hardware distribution and personalizing each chip. The resulting personalized chips can be used for modal distribution of chips. For example, chips can be personalized to allow sorting when a single chip design can be used to support multiple applications. The resulting personalized chips can also be used for random number generation for creating unique on-chip identifiers, private keys, etc.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: September 6, 2011
    Assignee: International Business Machines Corporation
    Inventors: Mark D. Jaffe, Stephen A. Mongeon, Leah M. P. Pastel, Jed H. Rankin
  • Patent number: 8010856
    Abstract: In a method for determining a number of possible hold time faults in a scan chain of a DUT, an environmental variable of the scan chain is set to a value believed to cause a hold time fault in the scan chain, and then a pattern is shifted through the scan chain. The pattern has a background pattern of at least n contiguous bits of a first logic state, followed by at least one bit of a second logic state, where n is a length of the scan chain. The number of possible hold time faults in the scan chain can be determined as a difference between i) a clock cycle when the at least one bit is expected to cause a transition at an output of the scan chain, and ii) a clock cycle when the at least one bit actually causes a transition at the output of the scan chain. If a value of the environmental variable at which the scan chain operates correctly can be determined, the location of one or more hold time faults can also be determined.
    Type: Grant
    Filed: March 31, 2008
    Date of Patent: August 30, 2011
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Stephen A. Cannon, Richard C. Dokken, Alfred L. Crouch, Gary A. Winblad
  • Patent number: 8006114
    Abstract: An apparatus for generating a digital signal pattern may comprises a memory, a program sequencer, first and second circuits, and an event execution unit. The memory may have stored therein a plurality of instructions that, when executed, cause a digital signal pattern to be generated on a plurality of nodes. The program sequencer may be configured to control a sequence in which the plurality of instructions are retrieved from the memory and executed. The first circuit may sequentially step through a plurality of different output states in response to a clock signal. The second circuit may identify an output event when an output state of the first circuit corresponds to an output state identified by retrieved instructions of a particular type. The event execution unit may control states of signals on the plurality of nodes in a manner specified by the retrieved instructions of the particular type in response to the second circuit identifying an output event.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: August 23, 2011
    Assignee: Analog Devices, Inc.
    Inventors: Andreas D. Olofsson, Christopher Jacobs, Paul Kettle
  • Patent number: 8001439
    Abstract: Systems and methods of testing integrated circuits are disclosed. The systems include a test module configured to operate between automated testing equipment and an integrated circuit to be tested. The testing interface is configured to test the integrated circuit at a higher slew rate than the slew rate at which signals are received from the automated testing equipment. In order to do so, the testing interface includes components configured for generating addresses, commands, and test data to be conveyed to the integrated circuit. A variety of test data patterns can be produced and the test data can be address dependent. The systems are optionally configured to include a test plan memory component configured to store one or more test plans. A test plan may include a sequence of test patterns and/or conditional branches whereby the tests to be performed next are dependent on the results of the preceding tests. The test plan memory is, optionally, be detachable from the test module.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: August 16, 2011
    Assignee: Rambus Inc.
    Inventor: Adrian E. Ong
  • Publication number: 20110179326
    Abstract: Techniques are disclosed for reducing the set of initial candidates in signature based diagnosis methodology. These techniques are based on a unique way of making optimum use of information from logic back-cone tracing along with equations that describe the test response compactor.
    Type: Application
    Filed: February 23, 2009
    Publication date: July 21, 2011
    Applicant: MENTOR GRAPHICS CORPORATION
    Inventors: Manish Sharma, Wu-Tung Cheng, Thomas Rinderknecht
  • Patent number: 7979763
    Abstract: Scan testing and scan compression are key to realizing cost reduction and shipped quality. New defect types in ever more complex designs require increased compression. However, increased density of unknown (X) values reduces effective compression. A scan compression method can achieve very high compression and full coverage for any density of unknown values. The described techniques can be fully integrated in the design-for-test (DFT) and automatic test pattern generation (ATPG) flows. Results from using these techniques on industrial designs demonstrate consistent and predictable advantages over other methods.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: July 12, 2011
    Assignee: Synopsys, Inc.
    Inventors: Peter Wohl, John A. Waicukauski, Frederic J. Neuveux
  • Patent number: 7941718
    Abstract: A method and system for testing an electronic device is disclosed. The method includes loading a first test into a test pattern generator of a first device and generating a first test pattern at the test pattern generator. A second test seed is loaded into the test pattern generator while the first test pattern is being generated. In one embodiment, the state of the test pattern generator is modified based upon the second test seed, and the first test seed.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: May 10, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Zaifu Zhang, Robert Bailey
  • Patent number: 7917820
    Abstract: A method of testing of an embedded core of an integrated circuit (“IC”) is described. An IC has a hardwired embedded core and memory coupled to each other in the IC. The method includes writing a test vector to the memory while the embedded core is operative. The test vector is input from the memory to the embedded core to mimic scan chain input to the embedded core. A test result is obtained from the embedded core responsive in part to the test vector input.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: March 29, 2011
    Assignee: Xilinx, Inc.
    Inventors: Adarsh Pavle, Shahin Toutounchi
  • Patent number: 7917825
    Abstract: Embodiments of the present invention include an apparatus to selectively provide information within a device to enable the device to perform a function. The apparatus comprises a generator unit to generate information for the device to perform the function, a receiver unit to receive information from a source and provide the received information for the device, and a storage unit. The storage unit selectively stores the information from the generator unit and the receiver unit for use by the device in accordance with an information selection signal and a mode signal indicating entry of the device into a particular device mode. Information from the receiver unit is stored in the storage unit in response to availability of information from the receiver unit and the mode signal indicating entry of the device into the particular device mode.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: March 29, 2011
    Inventor: Joo-Sang Lee
  • Patent number: 7913136
    Abstract: The present invention relates to a method for performing a logic built-in self-test (LBIST) on an electronic circuit with a plurality of logic circuits (18, 20, 22, 24) and storage elements (14, 16) connected serially to a number of LBIST stumps (10, 12) between a pseudo-random-pattern generator (26) and a multiple-input-signature register (28), wherein at least one constrained logic circuit (18) requires constrained values as input signals.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: March 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Tilman Gloekler, Michael Kugel, Thuyen Le, Matthias Woehrle
  • Patent number: 7904846
    Abstract: A computer is programmed to automatically generate in memory, goals for functional verification of a design of a circuit by use of constraints that are specified in the normal manner. Specifically, a predetermined set of rules are automatically applied to the constraints, on random values for signals to be input to the circuit during simulation of the design. Application of the rules identifies one or more templates of goal(s) to be met. The computer is programmed to automatically use constraint(s) and template(s) to instantiate goal(s) in memory. Each goal identifies a signal to be input to the circuit, and defines a counter for a value of the signal. The goals are used in the normal manner, i.e. used to measure coverage of functional verification during simulation of the design of the circuit.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: March 8, 2011
    Assignee: Synopsys, Inc.
    Inventors: Shashidhar Anil Thakur, Rahul Hari Dani, Ramnath N. Rao
  • Patent number: 7900111
    Abstract: Capabilities are added to a Hardware Verification Language that facilitates the generation of test data. Random number sources, called random variables, can be produced by adding a randomness attribute to a variable declaration of a class definition. A “randomize” method call to a class instance produces a random value for each random variable. Constraint blocks, of a class definition, control random variables with constraint expressions. Dependency, of random variable value assignment, as determined by constraint expressions, can be expressed by a DAG. A constraint expression is converted into ranges of permissible values, from which a value is randomly chosen by a randomize method. A “boundary” method call sequentially selects a combination of boundary values, for each random variable, from each random variable's set of ranges. Coordinated selection of a boundary values permits all combinations of boundary values to be produced through successive boundary calls.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: March 1, 2011
    Assignee: Synopsys, Inc.
    Inventors: Won Sub Kim, Mary Lynn Meyer, Daniel Marcos Chapiro
  • Patent number: 7895488
    Abstract: A system and method for detecting transition delay faults decouples the test enable pins of the clock gating cells from other elements in the circuitry. The test enable pins are controlled during test mode by a unique signal, allowing the tester to independently control the clock gating logic of the circuitry. By being able to ungate the clock, the tester can ensure that the two clock pulses needed to check for transition delay faults will always be present.
    Type: Grant
    Filed: June 19, 2007
    Date of Patent: February 22, 2011
    Assignee: Marvell International Ltd.
    Inventor: Darren Bertanzetti
  • Patent number: 7895492
    Abstract: In a linear feedback shift register (LFSR), a four-bit shift register mainly using F/Fs is formed and an XOR circuit that feeds back an exclusive OR of a first bit and a last bit to the first bit is also provided, thereby outputting a test pattern having a maximum cycle of 15. A phase change circuit that can perform arbitrary phase change of a test pattern based on input of a control signal having a maximum clock number 4 and an average clock number log24 is also formed in the LFSR. As a result, a smaller clock count is required for the LFSR to output a test pattern that matches a test pattern automatically generated by an ATPG.
    Type: Grant
    Filed: March 6, 2008
    Date of Patent: February 22, 2011
    Assignee: Fujitsu Limited
    Inventors: Takahisa Hiraide, Tatsuru Matsuo
  • Patent number: 7890830
    Abstract: The present invention is to provide a test signal generating apparatus which can generate a test signal for testing a device that dynamically change its operational state in response to a signal or the like. The test signal generating apparatus includes: a pattern storage unit 20 having patterns; a pattern selecting unit 23 for selecting a pattern from among the patterns; a test signal generating unit 25 for generating a test signal having a pattern selected by the pattern selecting unit 23, a trigger signal receiving unit 21 for receiving at least one trigger signal, and a pattern map storage unit 22 having a pattern map defining the number of repetitions for each pattern and a pattern corresponding to a test signal to be generated by the test signal generating unit after the test signal generating unit repeats the test signal on the basis of the number of repetitions.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: February 15, 2011
    Assignee: Anritsu Corporation
    Inventors: Takeshi Wada, Masahiko Dohi