Physical Design Processing Patents (Class 716/110)
  • Patent number: 11935882
    Abstract: A semiconductor device including a static random access memory (SRAM) device includes a first SRAM array including a first plurality of bit cells arranged in a matrix; a second SRAM array including a second plurality of bit cells arranged in a matrix; and a plurality of abutting dummy cells disposed between the first SRAM array and the second SRAM array. Each of the plurality of abutting dummy cells includes a plurality of dummy gate electrode layers and a plurality of dummy contacts. The semiconductor device further includes a first-type well continuously extending from the first SRAM array to the second SRAM array. The first-type well is in direct contact with portions of the plurality of dummy contacts.
    Type: Grant
    Filed: August 16, 2021
    Date of Patent: March 19, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Jhon Jhy Liaw
  • Patent number: 11853662
    Abstract: A method includes storing a base model generated using base data and receiving training data generated by compiling circuit designs. The method also includes generating, using the training data, a tuned model and generating, using the training data and the base data, a hybrid model. The method further includes receiving a selected cost function and biasing the base model, the tuned model, and the hybrid model using the selected cost function.
    Type: Grant
    Filed: June 21, 2022
    Date of Patent: December 26, 2023
    Assignee: Synopsys, Inc.
    Inventors: Sankaranarayanan Srinivasan, Senthilkumar Thoravi Rajavel, Vinod Kumar Nakkala, Avinash Anantharamu, Pierre Clement, Saibal Ghosh, Sashikala Oblisetty, Etienne Lepercq
  • Patent number: 11805025
    Abstract: The present disclosure provides a neural network computing-oriented modeling method and apparatus for distributed data routing. The method includes the following steps: S1, designing the distributed attribute of a physical tensor: abstracting a mapping relationship between a logic tensor and the physical tensor into three distributed attributes including a broadcast attribute, a scatter attribute and a local reduction attribute; S2, deducing the distributed attribute of an output tensor: specifying the distributed attribute of an input tensor, and then deducing the legal distributed attribute of the output tensor according to the known distributed attribute of the input tensor; and S3, judging, according to the distributed attribute situation, whether an intermediate communication primitive needs to be inserted to obtain the distributed attribute of a local physical tensor.
    Type: Grant
    Filed: June 23, 2022
    Date of Patent: October 31, 2023
    Assignee: ZHEJIANG LAB
    Inventors: Hongsheng Wang, Shuibing He, Hujun Bao, Guang Chen
  • Patent number: 11799485
    Abstract: A programmable integrated circuit includes configuration circuitry configured to receive configurations of a user design for the programmable integrated circuit. Each of the configurations implements the user design using at least some unique circuitry in the programmable integrated circuit relative to the other ones of the configurations. The configuration circuitry is further configured to implement the user design in a first one of the configurations. The configuration circuitry is further configured to move the user design from the first one of the configurations to a second one of the configurations to cause effects of aging processes in circuits in the programmable integrated circuit that are not aged by the first one of the configurations.
    Type: Grant
    Filed: September 25, 2021
    Date of Patent: October 24, 2023
    Assignee: Intel Corporation
    Inventor: Herman Schmit
  • Patent number: 11741282
    Abstract: Systems and methods for adjusting a digital circuit design are described. For example, the method may include selecting a first path in the digital circuit design. The first path includes a plurality of gates. The method also includes generating a k-hop neighborhood graph of the first path, encoding the k-hop neighborhood graph into a state vector, and applying a machine learning model to the state vector to determine an adjustment to be made on a first gate of the plurality of gates. The method further includes changing the first gate based on the adjustment.
    Type: Grant
    Filed: January 19, 2022
    Date of Patent: August 29, 2023
    Assignee: Synopsys, Inc.
    Inventors: Siddhartha Nath, Vishal Khandelwal, Yi-Chen Lu, Praveen Ghanta
  • Patent number: 11727187
    Abstract: A method of manufacturing a transmission gate includes overlying a first active area with a first metal zero segment, the first active area including first and second PMOS transistors, overlying a second active area with a second metal zero segment, the second active area including first and second NMOS transistors, and configuring the first and second PMOS transistors and the first and second NMOS transistors as a transmission gate by forming three conductive paths. At least one of the conductive paths includes a first conductive segment perpendicular to the first and second metal zero segments, and the first and second metal zero segments have a first offset distance corresponding to three times a metal zero pitch.
    Type: Grant
    Filed: March 8, 2022
    Date of Patent: August 15, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Shao-Lun Chien, Pin-Dai Sue, Li-Chun Tien, Ting-Wei Chiang, Ting Yu Chen
  • Patent number: 11687694
    Abstract: An approach is disclosed herein for balancing layer densities in using an automated process. The approach disclosed herein operates on a region-by-region and layer-by-layer basis to perform parameterized layer balancing. In some embodiments, the process comprises determining densities of respective layers in respective regions, evaluating each layer and region to determine whether operations need to be taken to balance those layers in the corresponding regions, determining what those actions should be, and then implementing those actions. Additionally, in some embodiments, the process may operate in different orders and may be associated with a looping flow until a layout being processed has been balanced.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: June 27, 2023
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yu-Chen Lin, Yi-Ning Chang, Tyler James Lockman
  • Patent number: 11658049
    Abstract: A method for evaluating a heat sensitive structure involving identifying a heat sensitive structure in an integrated circuit design layout, the heat sensitive structure characterized by a nominal temperature, identifying a heat generating structure within a thermal coupling range of the heat sensitive structure, calculating an operating temperature of the first heat generating structure; calculating a temperature increase or the heat sensitive structure induced by thermal coupling to the heat generating structure at the operating temperature; and performing an electromigration (EM) analysis of the heat sensitive structure at an evaluation temperature obtained by adjusting the nominal temperature by the temperature increase induced by the heat generating structure.
    Type: Grant
    Filed: August 20, 2021
    Date of Patent: May 23, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsien Yu Tseng, Sheng-Feng Liu
  • Patent number: 11636238
    Abstract: Model elements of an executable model, representing a physical system, are partitioned into one or more linear portions and one or more nonlinear portions. Simulating behavior of the physical system, by executing the model, includes, for each of multiple simulation time intervals, for a first nonlinear portion, computing a correlation matrix characterizing noise associated with one or more ports of the model. A scattering matrix corresponds to a portion of the physical system represented by the first nonlinear portion without accounting for any noise within the portion of the physical system. The correlation matrix is derived from the scattering matrix based on noise within the portion of the physical system. Noise sources representing noise within the portion of the physical system are identified based on the correlation matrix. At least one characteristic of noise associated with each noise source is computed, and noise characteristics are output at selected ports.
    Type: Grant
    Filed: January 22, 2019
    Date of Patent: April 25, 2023
    Assignee: The MathWorks, Inc.
    Inventors: Alon Ludwig, Ebrahim Mestchian, Pieter Mosterman, Mark Reichelt
  • Patent number: 11545546
    Abstract: In an embodiment, a device includes: a first source/drain region; a second source/drain region; an inter-layer dielectric (ILD) layer over the first source/drain region and the second source/drain region; a first source/drain contact extending through the ILD layer, the first source/drain contact connected to the first source/drain region; a second source/drain contact extending through the ILD layer, the second source/drain contact connected to the second source/drain region; and an isolation feature between the first source/drain contact and the second source/drain contact, the isolation feature including a dielectric liner and a void, the dielectric liner surrounding the void.
    Type: Grant
    Filed: June 30, 2020
    Date of Patent: January 3, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Lien Huang, Guan-Ren Wang, Ching-Feng Fu
  • Patent number: 11450769
    Abstract: Semiconductor structures and methods for forming a semiconductor structure are provided. An active semiconductor region is disposed in a substrate. A gate is formed over the substrate. Source and drain regions of a transistor are formed in the active semiconductor region on opposite sides of the gate. The drain region has a first width, and the source region has a second width that is not equal to the first width.
    Type: Grant
    Filed: February 24, 2021
    Date of Patent: September 20, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Hsien-Yuan Liao, Chien-Chih Ho, Chi-Hsien Lin, Hua-Chou Tseng, Ho-Hsiang Chen, Ru-Gun Liu, Tzu-Jin Yeh, Ying-Ta Lu
  • Patent number: 11424167
    Abstract: A semiconductor package structure and a method for manufacturing the same are provided. The method includes: providing a package body includes a first semiconductor device, wherein the first semiconductor device includes a plurality of first electrical contacts disposed adjacent to an active surface of the first semiconductor device; measuring the actual positions of the first electrical contacts of the first semiconductor device; providing a plurality of second electrical contacts outside the first semiconductor device; and forming an interconnection structure based on the actual positions of the first electrical contacts of the first semiconductor device and the positions of the second electrical contacts satisfying a predetermined electrical performance criterion by a mask-less process, so as to connect the first electrical contacts and the second electrical contacts and maintain signal integrity during transmission.
    Type: Grant
    Filed: October 9, 2020
    Date of Patent: August 23, 2022
    Assignee: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventors: Chen-Chao Wang, Chih-Yi Huang, Keng-Tuan Chang
  • Patent number: 11409935
    Abstract: An integrated circuit structure includes a first metal level comprising a first plurality of interconnect lines along a first direction. A cell is on at least the first metal level, the cell having a pin comprising more than two of the first plurality of interconnect lines. A second metal level comprising a second plurality of interconnect lines overlays the first metal level, where the second plurality of interconnect lines is along a second direction. Two or more vias are on at least one of the second plurality of interconnect lines to connect to the pin.
    Type: Grant
    Filed: December 27, 2017
    Date of Patent: August 9, 2022
    Assignee: Intel Corporation
    Inventors: Ranjith Kumar, Srinivasa Chaitanya Gadigatla, Tamanna Husain, Abhinand Ramakrishnan, James Graeber, Kohinoor Basu
  • Patent number: 11329098
    Abstract: According to various embodiments, a PMUT device may include a wafer, an active layer including a piezoelectric stack, an intermediate layer having a cavity therein where the intermediate layer is disposed between the wafer and the active layer such that the cavity is adjoining the piezoelectric stack. A via may be formed through the active layer and the intermediate layer to the wafer. A metallic layer may be disposed over the active layer and over surfaces of the via. The intermediate layer may include an interposing material surrounding the cavity, and may further include a sacrificial material surrounding the via. The sacrificial material may be different from the interposing material. The metallic layer may include a first member at least substantially overlapping the piezoelectric stack, a second member extending from the first member to the cavity, and a third member extending into the active layer to contact an electrode therein.
    Type: Grant
    Filed: November 8, 2018
    Date of Patent: May 10, 2022
    Assignee: VANGUARD INTERNATIONAL SEMICONDUCTOR SINGAPORE PTE. LTD.
    Inventors: You Qian, Humberto Campanella-Pineda, Rakesh Kumar
  • Patent number: 11314305
    Abstract: Methods and systems are described that improve simulations which use thermal models to test dynamic thermal mitigation of devices, such as smartphones. These methods and systems can use a thermal Reduced Order Model (ROM) that is trained through machine learning to provide efficient systems that can significantly reduce the time and computational resources required to build a simulation of a device's thermal behavior. The thermal model can be used in different usage scenarios with different power management and thermal management controls to test the device's thermal behavior.
    Type: Grant
    Filed: October 1, 2019
    Date of Patent: April 26, 2022
    Assignee: ANSYS, INC.
    Inventors: Aniket Abhay Kulkarni, Shitalkumar Joshi
  • Patent number: 11301613
    Abstract: Systems and methods for performing depth-dependent oxidation modeling and depth-dependent etch modeling in a virtual fabrication environment are discussed. More particularly, a virtual fabrication environment models, as part of a process sequence, oxidant dispersion in a depth-dependent manner and simulates the subsequent oxidation reaction based on the determined oxidant thickness along an air/silicon interface. Further the virtual fabrication environment performs depth-dependent etch modeling as part of a process sequence to determine etchant concentration and simulate the etching of material along an air/material interface.
    Type: Grant
    Filed: December 22, 2020
    Date of Patent: April 12, 2022
    Assignee: Coventor, Inc.
    Inventors: Qing Peng Wang, Shi-Hao Huang, Yu De Chen, Rui Bao, Joseph Ervin
  • Patent number: 11295952
    Abstract: An apparatus for treating a substrate is disclosed. The apparatus for treating the substrate includes a housing having a treatment space inside the housing, a plate to support the substrate inside the housing, a heating member provided inside the plate to heat the substrate and including a plurality of heating zones, a temperature measuring member to measure a temperature of the substrate with respect to each of the plurality of heating zones of the heating member, and a control unit to control a temperature for the heating member in a dynamic section of a temperature change graph measured in the temperature measuring member. The control unit performs temperature control with respect to each of the plurality of heating zones of the heating member to uniformize the thickness of the thin film on the substrate.
    Type: Grant
    Filed: June 9, 2020
    Date of Patent: April 5, 2022
    Assignee: Semes Co., Ltd.
    Inventors: Tae Sub Lee, Gyu Hyun Kim, Sung Yong Lee, Donghyuk Seo, Seo Jung Park
  • Patent number: 11196503
    Abstract: Embodiments of techniques for inverse design of physical devices are described herein, in the context of generating designs for photonic integrated circuits (including a multi-channel photonic demultiplexer). In some embodiments, an initial design of the physical device is received, and a plurality of sets of operating conditions for fabrication of the physical device are determined. In some embodiments, the performance of the physical device as fabricated under the sets of operating conditions is simulated, and a total performance loss value is backpropagated to determine a gradient to be used to update the initial design. In some embodiments, instead of simulating fabrication of the physical device under the sets of operating conditions, a robustness loss is determined and combined with the performance loss to determine the gradient.
    Type: Grant
    Filed: February 20, 2020
    Date of Patent: December 7, 2021
    Assignee: X Development LLC
    Inventors: Jesse Lu, Brian Adolf, Martin Schubert
  • Patent number: 11056477
    Abstract: Disclosed herein is a semiconductor integrated circuit device which includes a standard cell with a plurality of fins extending in a first direction and arranged in a second direction that is perpendicular to the first direction. An active fin of the fins forms part of an active transistor. A dummy fin of the fins is disposed between the active fin and an end of the standard cell.
    Type: Grant
    Filed: May 13, 2020
    Date of Patent: July 6, 2021
    Assignee: SOCIONEXT INC.
    Inventor: Hiroyuki Shimbo
  • Patent number: 11030383
    Abstract: A method of forming an integrated device includes: providing a first via pillar file specifying a first via pillar; providing a second via pillar file specifying a second via pillar; arranging, by a processor, the first via pillar to electrically connect to a circuit cell in a first circuit; arranging an interconnecting path for electrical connection of the first via pillar to another circuit cell in the first circuit; arranging, by the processor, the second via pillar to replace the first via pillar when the first via pillar induces an electromigration (EM) phenomenon; re-routing the interconnecting path with replacement of the first via pillar to generate a second circuit when the first via pillar induces the EM phenomenon; and generating the integrated device according to the second circuit.
    Type: Grant
    Filed: May 26, 2020
    Date of Patent: June 8, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chun-Yao Ku, Wen-Hao Chen, Ming-Tao Yu, Shao-Huan Wang, Jyun-Hao Chang
  • Patent number: 10949595
    Abstract: A system performs a layout design of a circuit for a small area satisfying a design rule within a short period of time. In a layout design system which includes a processing portion and in which a circuit diagram and layout design information are input to the processing portion, the processing portion has a function of generating layout data from the circuit diagram and the layout design information by performing a Q learning, the processing portion has a function of outputting the layout data, the processing portion includes a first neural network, and the first neural network estimates an action value function in the Q learning.
    Type: Grant
    Filed: June 14, 2018
    Date of Patent: March 16, 2021
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Naoaki Tsutsui, Yusuke Koumura, Yuji Iwaki, Shunpei Yamazaki
  • Patent number: 10922461
    Abstract: A method for designing a system on a target device includes performing register retiming on an original design for the system to generate a retimed design. The retimed design is verified to determine whether it is structurally correct by performing a plurality of iterations of register retiming on the retimed design, wherein each iteration accounts for the register retiming of registers in the system driven by a different clock.
    Type: Grant
    Filed: October 3, 2018
    Date of Patent: February 16, 2021
    Assignee: Intel Corporation
    Inventors: Mahesh A. Iyer, Vasudeva M. Kamath
  • Patent number: 10867113
    Abstract: A transmission gate structure includes first and second PMOS transistors in a first active area and first and second NMOS transistors in a second active area. The first and second PMOS transistors include first and second gate structure, the first NMOS transistor includes a third gate structure coupled to the second gate structure, and the second NMOS transistor includes a fourth gate structure coupled to the first gate structure. A first metal zero segment overlies the first active area, a second metal zero segment is offset from the first metal zero segment by an offset distance, a third metal zero segment is offset from the second metal zero segment by the offset distance, and a fourth metal zero segment is offset from the third metal zero segment by the offset distance and overlies the second active area.
    Type: Grant
    Filed: August 2, 2019
    Date of Patent: December 15, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Shao-Lun Chien, Ting-Wei Chiang, Li-Chun Tien, Pin-Dai Sue, Ting Yu Chen
  • Patent number: 10685164
    Abstract: Various embodiments provide for circuit design routing based on parallel run length (PRL) rules. In particular, a plurality of PRL rules is accessed and used to generate a set of additional routing blockages around an existing routing blockage of the circuit design. The additional routing blockages can be positioned relative to the existing routing blockage. During routing, the set of additional routing blockages can be modeled into a capacity map, which is then used by global to generate routing guide(s) between at least two nodes of the circuit design. In doing so, the various embodiments can assist in routing a wire while avoiding violation of the plurality of PRL rules with respect to the existing blockage, can speed up performance of global routing, can make it easier for detailed routing to honor routing guides produced by global routing, and can speed up performance of detailed routing in resolving DRC violations.
    Type: Grant
    Filed: January 3, 2019
    Date of Patent: June 16, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yi-Xiao Ding, Wing-Kai Chow, Gracieli Posser, Mehmet Can Yildiz, Zhuo Li
  • Patent number: 10685166
    Abstract: Various techniques implement an electronic design with physical simulations using layout artwork. The approximate behaviors of the electronic design are determined. A region in the electronic design is identified. A first three-dimensional model is identified, if pre-existing, or generated, if non-existing, for the region in the electronic design. The behaviors of the region is determined using at least physics-based techniques or methodologies that are preconditioned upon at least a portion of the approximate behaviors determined for the electronic design.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: June 16, 2020
    Assignee: Cadence Design Systems, Inc.
    Inventors: Chun-Teh Kao, An-Yu Kuo
  • Patent number: 10685163
    Abstract: Disclosed is technology for evaluating the performance of various conducting structures in an integrated circuit. A three-dimensional circuit representation of a circuit design is provided. The three-dimensional circuit representation includes a plurality of conducting structures including a first conducting structure which has a length L. A plurality of longitudinally adjacent volume elements is identified in the conducting structure. A width Wn and a height Hn are estimated for each volume element n in the conducting structure. Furthermore, the local resistivity ?n for each volume element n is estimated based on a function that is dependent upon the length L of the conducting structure and the width Wn and height Hn of the volume element n. The resistance of a conducting structure is estimated in dependence upon the resistivity ?n for each of the volume elements n in the plurality of volume elements in the conducting structure.
    Type: Grant
    Filed: February 26, 2018
    Date of Patent: June 16, 2020
    Assignee: Synopsys, Inc.
    Inventors: Karim El Sayed, Victor Moroz
  • Patent number: 10672699
    Abstract: A semiconductor device with redistribution layers on partial encapsulation is disclosed and may include providing a carrier with a non-photosensitive protection layer, forming a pattern in the non-photosensitive protection layer, providing a semiconductor die with a contact pad on a first surface, and bonding the semiconductor die to the non-photosensitive protection layer such that the contact pad aligns with the pattern formed in the non-photosensitive protection layer. A second surface opposite to the first surface of the semiconductor die, side surfaces between the first and second surfaces of the semiconductor die, and a portion of a first surface of the non-photosensitive protection layer may be encapsulated with an encapsulant. The carrier may be removed leaving the non-photosensitive protection layer bonded to the semiconductor die. A redistribution layer may be formed on the contact pad and a second surface of the non-photosensitive protection layer opposite to the first surface.
    Type: Grant
    Filed: January 29, 2019
    Date of Patent: June 2, 2020
    Assignee: AMKOR TECHNOLOGY, INC.
    Inventors: Jong Sik Paek, Jin Young Kim, YoonJoo Kim, Jin Han Kim, SeungJae Lee, Se Woong Cha, SungKyu Kim, Jae Hun Bae, Dong Jin Kim, Doo Hyun Park
  • Patent number: 10657305
    Abstract: A method for designing a narrowband acoustic wave microwave filter including: generating a modeled filter circuit design having circuit elements including an acoustic resonant element defined by an electrical circuit model that includes a parallel static branch, a parallel motional branch, and one or both of a parallel Bragg Band branch that models an upper Bragg Band discontinuity and a parallel bulk mode function that models an acoustic bulk mode loss; and generating a final circuit design. Generating the final circuit design includes optimizing the modeled filter circuit design to generate an optimized filter circuit design; comparing a frequency response of the optimized filter circuit design to requirements; selecting the optimized filter circuit design for construction into the actual acoustic microwave filter based on the comparison; and transforming the optimized filter circuit design to a design description file for input to a construction process.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: May 19, 2020
    Assignee: Resonant Inc.
    Inventors: Kurt F. Raihn, Patrick J. Turner, Neal O. Fenzi
  • Patent number: 10615122
    Abstract: A semiconductor integrated circuit device having a power supply strap formed in a layer above a power supply line which supplies power to standard cells, a switch cell provided for the power supply line, the switch cell being capable of switching between electrical connection and disconnection between the power supply line and the power supply strap, and a sub-power supply strap connected to at least two power supply lines including the power supply line provided with the switch cell.
    Type: Grant
    Filed: May 23, 2018
    Date of Patent: April 7, 2020
    Assignee: Socionext Inc.
    Inventor: Keisuke Kishishita
  • Patent number: 10552570
    Abstract: A method, system, and computer product for performing a coupled noise analysis in an integrated circuit (IC) design include copying one or more victim circuit sections of a victim circuit in the IC, generating a blockage circuit section that represents a blockage aggressor circuit in the IC, using the copied one or more victim circuit sections of the victim circuit, determining at least one subcircuit from the blockage circuit section, selecting, for a victim pin on the victim circuit, a power-driven virtual node on the at least one subcircuit, applying a power source to the power-driven virtual node on the at least one subcircuit, calculating a coupled noise at the victim pin contributed by the at least one subcircuit in response to the power source being applied, comparing the coupled noise to a threshold noise level, and altering the IC design when the coupled noise exceeds the threshold noise level.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: February 4, 2020
    Assignee: International Business Machines Corporation
    Inventors: Tsz-mei Ko, Thomas G. Mitchell, Jason D. Morsey, Steven E. Washburn, Patrick M. Williams
  • Patent number: 10534878
    Abstract: A graph-based analysis (GBA) output is obtained comprising timing information pertaining to a plurality of paths in an integrated circuit. A path-based analysis (PBA) is performed on the GBA output to analyze timing of the plurality of paths and generate a set of improved timing results; wherein the physical measurements used by the PBA are more accurate than the physical measurements used by the GBA. The PBA result is output to an optimizer to automatically adjust the circuit.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: January 14, 2020
    Assignee: Avatar Integrated Systems, Inc.
    Inventors: Geng Bai, Chao-Yung Wang, Ping-San Tzeng
  • Patent number: 10515185
    Abstract: A method of determining colorability of a layer of a semiconductor device includes iteratively decomposing a conflict graph to remove all nodes having fewer links than a threshold number of links. The method further includes determining whether the decomposed conflict graph is a simplified graph based on a comparison between the decomposed conflict graph and a stored conflict graph. The method further includes determining whether the decomposed conflict graph is colorable based on a number of masks used to pattern the layer of the semiconductor device. The method further includes flagging violations in response to a determination that the decomposed conflict graph is not colorable.
    Type: Grant
    Filed: February 11, 2019
    Date of Patent: December 24, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Yun Cheng, Chin-Chang Hsu, Hsien-Hsin Sean Lee, Jian-Yi Li, Li-Sheng Ke, Wen-Ju Yang
  • Patent number: 10489535
    Abstract: A method for performing a rewind functional verification includes identifying state variables that model a number of registers on each edge of a retiming graph for an original design and a retimed design. Random variables are identified that model retiming labels representing a number and a direction of a register movement relative to a node on a retiming graph for the retimed design. A retiming constraint is identified for each edge on the retiming graph for the retimed design, wherein the retiming constraint reflects a relationship between the state variables and the random variables. A random variable that models a retiming label at a source of an edge is recursively substituted for a random variable that models a retiming label at a sink of the edge when a number of registers on the edge is unchanged after register retiming.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: November 26, 2019
    Assignee: Intel Corporation
    Inventors: Mahesh A. Iyer, Vasudeva M. Kamath
  • Patent number: 10394471
    Abstract: Adaptive power regulation methods and systems are disclosed. In one aspect, one or more process sensors for memory elements are provided, which report information relating to inherent speed characteristics of sub-elements within the memory elements. Based on this reported information, a controller ascertains an appropriate power level to insure a proper data retention voltage (DRV) is applied on voltage rails by a power management unit (PMU) circuit. By using the proper DRV based on the speed characteristics of the sub-elements within the memory elements, power conservation is achieved.
    Type: Grant
    Filed: August 24, 2016
    Date of Patent: August 27, 2019
    Assignee: QUALCOMM Incorporated
    Inventors: Giby Samson, Keith Alan Bowman, Yu Pu, Francois Ibrahim Atallah
  • Patent number: 10375325
    Abstract: Systems and methods can be used to detect thermal anomalies in a target scene of an infrared image. Acquired thermal image data can be compared to a statistical thermal profile to detect thermal anomalies in the image data. Anomaly data based on the comparison can be used to generate an image representing locations and/or severity of detected anomalies. Systems can be used to acquire thermal image data for generating and/or updating statistical thermal profiles for use in anomaly detection processes. Auxiliary measurement devices can provide measurement data representative of one or more parameters of the target scene. The measurement data can be used to select from a plurality of possible statistical thermal profiles associated with the target scene to best match the current parameters of the scene.
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: August 6, 2019
    Assignee: Fluke Corporation
    Inventor: Matthew F. Schmidt
  • Patent number: 10361116
    Abstract: A method for local pattern density control of a device layout used by graphoepitaxy directed self-assembly (DSA) processes includes importing a multi-layer semiconductor device design into an assist feature system and determining overlapping regions between two or more layers in the multi-layer semiconductor device design using at least one Boolean operation. A fill for assist features is generated to provide dimensional consistency of device features by employing the overlapping regions to provide placement of the assist features. An updated device layout is stored in a memory device.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: July 23, 2019
    Assignee: International Business Machines Corporation
    Inventors: Hsueh-Chung Chen, Cheng Chi, Lin Hu, Kafai Lai, Chi-Chun Liu, Jed W. Pitera
  • Patent number: 10318676
    Abstract: Techniques efficiently improve an integrated circuit design by simultaneously analyzing timing paths of the circuit design. A design management component can access data relating to the integrated circuit design from a design database. The design management component can perform a static timing analysis of the integrated circuit design and generate a timing path distribution, filtered analytics, and/or a probability density function associated with the integrated circuit design, wherein all of the timing paths of the integrated circuit design can be evaluated. The design management component can determine a modification to make to a cell, device, interconnection between cells or devices, or another element(s) of the integrated circuit design, based at least in part on the static timing analysis, the timing path distribution, the filtered analytics, and/or the probability density function, to generate a modified integrated circuit design, in accordance with defined design criteria.
    Type: Grant
    Filed: January 25, 2017
    Date of Patent: June 11, 2019
    Assignee: AMPERE COMPUTING LLC
    Inventors: Alfred Yeung, Subbayyan Venkatsan, Hamid Partovi, Vamsi Srikantam
  • Patent number: 10311195
    Abstract: A computer-implemented method for validating a design characterized by a multi-patterning layer is presented. The method includes receiving the multi-patterning layer in a memory of the computer when the computer is invoked to validate the design. The method further includes correcting, using the computer, a first error in a first shape of the multi-patterning layer in accordance with a first rule thereby forming a corrected layer. The method further includes incrementally validating, using the computer, a first portion of the corrected layer in accordance with the first error and a first connected component of a first graph associated with the multi-patterning layer.
    Type: Grant
    Filed: January 15, 2016
    Date of Patent: June 4, 2019
    Assignee: SYNOPSYS, INC.
    Inventors: Yuli Xue, Weiping Fang, John Robert Studders, Byungwook Kim
  • Patent number: 10311200
    Abstract: Roughly described, a method for developing a set of design rules for a fabrication process in development includes, for each of several candidate DRUTs for the fabrication process, laying our a logic cell based on the DRUT, the logic cell having at least one transistor and at least one interconnect, simulating fabrication of the logic cell according to the fabrication process and the layout, simulating behavior of the logic cell structure, including characterizing the combined behavior of both the first transistor and the first interconnect, evaluating performance of the logic cell structure in dependence upon the behavior as characterized, and recording in a database, in association with an indication of the DRUT, values indicating performance of the logic cell. The database can be used to select the best DRUT for the fabrication process.
    Type: Grant
    Filed: August 3, 2016
    Date of Patent: June 4, 2019
    Assignee: Synopsys, Inc.
    Inventors: Victor Moroz, Karim El Sayed, Terry Sylvan Kam-Chiu Ma, Xi-Wei Lin, Qiang Lu
  • Patent number: 10289777
    Abstract: A method comprises constructing thermal block representations of one or more circuit components or one or more sub-components of the one or more circuit components in an integrated circuit based, at least in part, on defined component parameters. The component parameters describe the one or more sub-components of the one or more circuit components. The thermal block representations have at least one simulation node. The method also comprises supplying a current using at least one current source or voltage controlled current source in a performance simulation. The current is supplied to a thermal path between a first simulation node and a second simulation node. The method further comprises determining a temperature distribution between the first simulation node and the second simulation node based on the current, a first determined voltage at the first simulation node, and a second determined voltage at the second simulation node.
    Type: Grant
    Filed: June 18, 2014
    Date of Patent: May 14, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Sa-Lly Liu, Szu-Lin Liu, Jaw-Juinn Horng, Fu-Lung Hsueh
  • Patent number: 10217732
    Abstract: Techniques are disclosed for forming a compacted array of functional cells using next-generation lithography (NGL) processes, such as electron-beam direct write (EBDW) and extreme ultraviolet lithography (EUVL), to form the boundaries of the cells in the array. The compacted array of cells may be used for field-programmable gate array (FPGA) structures configured with logic cells, static random-access memory (SRAM) structures configured with bit cells, or other memory or logic devices having cell-based structures. The techniques can be used to gain a reduction in area of 10 to 50 percent, for example, for the array of functional cells, because the NGL processes allow for higher precision and closer cuts for the cell boundaries, as compared to conventional 193 nm photolithography. In addition, the use of NGL processes to form the boundaries for the cells may also reduce lithography induced variations that would otherwise be present with conventional 193 nm photolithography.
    Type: Grant
    Filed: June 25, 2014
    Date of Patent: February 26, 2019
    Assignee: INTEL CORPORATION
    Inventors: Rany T. Elsayed, Niti Goel, Silvio E. Bou-Ghazale, Randy J. Aksamit
  • Patent number: 10216883
    Abstract: A computer-implemented method of designing an integrated circuit (IC) includes allocating a plurality of colors to a plurality of patterns corresponding to one layer of a first cell so that a multi-patterning technology is designated for use in forming the plurality of patterns, the first cell being a multi-height cell corresponding to a plurality of rows, generating a plurality of shift cells, in which a color remapping operation associated with the plurality of patterns is performed for each row, with respect to the first cell, and storing a cell set including the first cell and the plurality of shift cells in a standard cell library.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: February 26, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ha-Young Kim, Sung-We Cho, Tae-Joong Song
  • Patent number: 10204205
    Abstract: A method of determining colorability of a layer of a semiconductor device includes iteratively decomposing a conflict graph to remove all nodes having fewer links than a threshold number of links. The method further includes determining whether the decomposed conflict graph is a simplified graph. The method further includes partitioning, using a specific purpose processing device, the decomposed conflict graph if the decomposed conflict graph is not a simplified graph. The method further includes determining whether the decomposed conflict graph is colorable based on a number of masks used to pattern the layer of the semiconductor device if the decomposed conflict graph is a simplified graph. The method further includes flagging violations if the decomposed conflict graph is not colorable.
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: February 12, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Yun Cheng, Chin-Chang Hsu, Hsien-Hsin Sean Lee, Jian-Yi Li, Li-Sheng Ke, Wen-Ju Yang
  • Patent number: 10192814
    Abstract: An electronics assembly includes a cooling chip structure having a device facing surface opposite a base surface and one or more sidewalls extending around a perimeter of the cooling chip structure between the device facing surface and the base surface. A plurality of fluid microchannels fluidly are coupled to a fluid inlet port and a fluid outlet port. A through substrate via extends from the base surface of the cooling chip structure to the device facing surface of the cooling chip structure, where the through substrate via intersects two or more fluid microchannels of the plurality of fluid microchannels.
    Type: Grant
    Filed: February 3, 2017
    Date of Patent: January 29, 2019
    Assignee: Toyota Motor Engineering & Manufacturing North America, Inc.
    Inventors: Yuji Fukuoka, Ercan Dede, Kyosuke Miyagi
  • Patent number: 10185797
    Abstract: Electronic design automation systems and methods for extracting Microelectromechanical systems (MEMS) objects from a manufacturing MEMS layout are described for MEMS layouts directed to MEMS devices including mass and spring objects. Pattern recognition is used on a MEMS layer of the MEMS layout to identify beams and supports. The identified beams and supports are then used to derive a set of intermediate MEMS objects. The intermediate MEMS objects are used to derive a set of output objects, where the set of output objects includes at least two mass objects and at least one active spring object. The set of output objects may then be used to generate a Lagrangian model of the MEMS device described by the MEMS layout.
    Type: Grant
    Filed: June 15, 2015
    Date of Patent: January 22, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventor: Janez Jaklic
  • Patent number: 10140411
    Abstract: A method for designing a system to be implemented on a target device, the method including generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing a corresponding net in the bounding box. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: November 27, 2018
    Assignee: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
  • Patent number: 10133842
    Abstract: Disclosed are techniques for multi-mode, multi-corner physical optimization of electronic designs. These techniques identify an electronic design and a global set of views. Timing information is characterized with the global set of views for the electronic design. A set of active views is generated at least by pruning one or more views from the global set of views for a first node in the electronic design while maintaining the one or more views for a second node in the set of active views. The electronic design is then associated with the set of active views that is stored in a data structure in a non-transitory computer accessible storage medium.
    Type: Grant
    Filed: April 20, 2016
    Date of Patent: November 20, 2018
    Assignee: Cadence Design Systems, Inc.
    Inventors: Pawan Kulshreshtha, Amit Dhuria, Krishna Prasad Belkhale, Saulius Kersulis
  • Patent number: 10134090
    Abstract: A method, and associated system and computer program product, for configuring a network of interconnected sources and users of a commodity, the method comprises: providing a partition of the users into a plurality of disjoints parts; initializing a grouping of the sources and parts into a plurality of disjoint groups each one comprising one or more interconnected sources and parts; repeating selecting an enlarging group among the groups being over-supplied, selecting an adding part among the parts adjacent to the enlarging group being under-supplied, merging the adding part and the possible group thereof into the enlarging group, and updating the supply balance of the merged enlarging group and the residual demand of the parts thereof according to the merging until all the parts belong to a single group; and determining an arrangement of intercepting/regulating elements according to the conditions of the inter-part connections.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: November 20, 2018
    Assignee: International Business Machines Corporation
    Inventor: Marcello Vitaletti
  • Patent number: 10102320
    Abstract: A predictive electronic circuit design system, method, and apparatus provide the ability to design an electronic circuit. An electronic computer aided design (CAD) environment server computing device is connected to the Internet and includes a machine learning module program. The machine learning module program has a netlist analyzer program, a database, and a classifier and predictor program. The netlist analyzer program receives a circuit netlist for a designed circuit from a user, characterizes the circuit netlist, and sends characterization data to the database. Characterization data from multiple users for multiple designed circuits are stored in the database. The classifier and predictor program uses design goal data, the characterization data for multiple designed circuits, and simulation results, to calculate and produce predictions and proposals for the user to make design changes to the designed circuit in order to better meet or exceed design goals.
    Type: Grant
    Filed: February 26, 2016
    Date of Patent: October 16, 2018
    Assignee: AUTODESK, INC.
    Inventor: Edward Sandor Pataky
  • Patent number: 9852251
    Abstract: A system, apparatus and computer-implemented method for manipulating a parameterized cell device into a custom layout design. The method begins by receiving at least one parameterized cell representing a physical circuit from, for example, a database or configuration file. The parameterized cell has a plurality of configurable attributes. The method continues by adjusting one of the configurable attributes of the parameterized cell according to a capability associated with the one attribute. The attributes may include one or more of a parameter mapping capability, a port mapping capability, an abutment capability, a directional extension capability, a channel width capability, and a boundary layer capability. The method then calculates a new configuration for the parameterized cell based upon the adjustment, and applies the new configuration for the parameterized cell to a layout of the represented physical circuit.
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: December 26, 2017
    Assignee: SYNOPSYS, INC.
    Inventors: Hsiao-Tzu Lu, Duncan Robert McDonald, Chih-Wei Yuan, Wen-Lung Kang