Verification Patents (Class 716/111)
  • Patent number: 11966683
    Abstract: A method and a system for comprehensively evaluating reliability of a multi-chip parallel IGBT module are provided. The method includes: establishing a gate-emitter voltage reliability model of the multi-chip parallel IGBT module, performing a chip fatigue failure test, and selecting a gate-emitter voltage as a failure characteristic quantity; establishing a transconductance reliability model of the multi-chip parallel IGBT module, performing a bonding wire shedding failure test, and selecting a transmission characteristic curve of the module as a failure characteristic quantity; using a Pearson correlation coefficient to characterize a degree of health of the IGBT module, and respectively calculating degrees of health PPMCCC and PPMCCB in different degrees of chip fatigue and bonding wire shedding failure states; and comprehensively evaluating the reliability of the multi-chip parallel IGBT module according to PPMCCC and PPMCCB.
    Type: Grant
    Filed: October 18, 2021
    Date of Patent: April 23, 2024
    Assignee: WUHAN UNIVERSITY
    Inventors: Yigang He, Chenyuan Wang, Lie Li, Bolun Du, Hui Zhang, Liulu He
  • Patent number: 11954201
    Abstract: The present disclosure describes systems, apparatuses, and methods for obfuscation-based intellectual property (IP) watermark labeling. One such method comprises identifying, by one or more computing processors, a specific net within an integrated circuit design that is likely to be used in a malicious attack; and adding additional nets to the integrated circuit design that add additional logic states to a finite state machine present in the integrated circuit design. The additional logic states comprise watermarking states for performing authentication of the integrated circuit design, in which a watermark digest can be captured upon application of secret key inputs to the additional nets. Other methods, systems, and apparatuses are also presented.
    Type: Grant
    Filed: April 7, 2021
    Date of Patent: April 9, 2024
    Assignee: University of Florida Research Foundation, Inc.
    Inventors: Swarup Bhunia, Tamzidul Hoque, Abhishek Anil Nair, Patanjali Sristi Lakshmiprasanna Sriramakumara
  • Patent number: 11916384
    Abstract: Embodiments for power generation include defining a power tile within a power distribution network having a grid of power rails, the power tile having logic gates, and applying an initial power grid pattern from a plurality of power grid patterns for the power tile such that initial power grid pattern relates to timing characteristics of the logic gates of the power tile. The power grid patterns each have a different number of connectors connecting one power rail to another power rail in the grid of power rails. A subsequent power grid pattern is selected from the power grid patterns for the power tile such that the subsequent power grid pattern meets a threshold condition for the timing characteristics of the logic gates of the power tile. The timing characteristics for the logic gates are determined based on a voltage drop associated with the subsequent power grid pattern.
    Type: Grant
    Filed: September 20, 2021
    Date of Patent: February 27, 2024
    Assignee: International Business Machines Corporation
    Inventors: David Wolpert, Basanth Jagannathan, Michael Hemsley Wood, Leon Sigal, James Leland, Alexander Joel Suess, Benjamin Neil Trombley, Paul G. Villarrubia
  • Patent number: 11841733
    Abstract: A method and system for realizing a FPGA server, wherein centralized monitoring and managing all SoC FPGA compute nodes within the server by a motherboard, the motherboard comprising: a plurality of self-defined management interfaces for connecting the SoC FPGA compute nodes to supply power and data switch to the SoC FPGA compute nodes; a management network switch module for interconnecting the SoC FPGA compute nodes and supplying management; and a core control unit for managing the SoC FPGA compute nodes through the self-defined management interfaces and a self-defined management interface protocol, and acquiring operating parameters of the SoC FPGA compute nodes to manage and monitor the SoC FPGA compute nodes based on the management interface protocol.
    Type: Grant
    Filed: January 8, 2020
    Date of Patent: December 12, 2023
    Assignee: Institute of Computing Technology, Chinese Academy of Sciences
    Inventors: Ke Zhang, Yazhou Wang, Mingyu Chen, Yisong Chang, Ran Zhao, Yungang Bao
  • Patent number: 11772693
    Abstract: A device for determining at least one measurement value related to a location and/or one or more movement variables of a track-bound vehicle. The device is configured such that a safety integrity level can be specified for the device. The device additionally ascertains a confidence interval which depends on the respective specified safety integrity level in response to the measurement value or at least one of the measurement values. The device can be used in a flexible manner and at the same time reduces operational constraints due to imprecise measurement values and large confidence intervals connected thereto. There is also described a method for operating such a device.
    Type: Grant
    Filed: March 1, 2018
    Date of Patent: October 3, 2023
    Assignee: Siemens Mobility GmbH
    Inventor: Bernhard Poesel
  • Patent number: 11768981
    Abstract: A method of determining a device behavior, wherein the method includes using a first procedure. The first procedure includes discretizing a user specified nano-device structure for at least one quantum method. Additionally, the first procedure includes solving the at least one quantum method, thereby having a solution of the at least one quantum method. Moreover, the first procedure includes extracting a parameter out of the solution of the at least one quantum method. Next, the first procedure includes applying at least one approximate method to the user-specified nano-device structure using the parameter. The first procedure additionally includes solving the at least one approximate method to the user-specified nano-device structure using the parameter. The first procedure also includes extracting the device behavior of the user-specified nano-device structure. Next, the method of determining the device behavior includes iterating the first procedure until a condition is satisfied.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: September 26, 2023
    Assignee: Purdue Research Foundation
    Inventors: Tillmann Christoph Kubis, Daniel Alberto Lemus, James Anthony Charles
  • Patent number: 11735250
    Abstract: A semiconductor memory device is provided to suppress occurrence of disturbance regardless of the position of the activated word line. The semiconductor memory device includes a plurality of word lines, a bit line, a plurality of memory cells connected to the bit line and one of the plurality of word lines, a sense amplifier connected to the bit line, and a control portion. The control portion is configured to control timing of activating the sense amplifier. When a position of an activated word line among the plurality of word lines is closer to the sense amplifier, the control portion controls the timing of activating the sense amplifier to be delayed more.
    Type: Grant
    Filed: August 6, 2021
    Date of Patent: August 22, 2023
    Assignee: WINBOND ELECTRONICS CORP.
    Inventor: Takahiko Sato
  • Patent number: 11700155
    Abstract: A receiving circuit of a deserializer is provided. The receiving circuit of the deserializer receives an input signal and includes: a signal receiving terminal for receiving the input signal; a link equalizer circuit (LEQ) having a first input terminal coupled to the signal receiving terminal; and an out-of-band signaling (OOBS) circuit having a second input terminal coupled to the signal receiving terminal; a first resistor coupled between the signal receiving terminal and a first reference voltage; and a second resistor coupled between the signal receiving terminal and a second reference voltage; and a buffer circuit having a third input terminal and an output terminal, wherein the third input terminal receives a voltage, and the output terminal is coupled to the LEQ or the OOBS circuit. The first input terminal of the LEQ and the second input terminal of the OOBS circuit are not electrically coupled, and the voltage is adjustable.
    Type: Grant
    Filed: January 10, 2022
    Date of Patent: July 11, 2023
    Assignee: REALTEK SEMICONDUCTOR CORPORATION
    Inventors: Yi-Ting Liu, Jian Liu
  • Patent number: 11687696
    Abstract: A semiconductor design automation system comprises a simulator configured to generate simulation data, a recovery module configured to correct a sampling error of the simulation data to generate recovery simulation data, a hardware data module configured to generate real data, a preprocessing module configured to preprocess the real data to generate preprocessed real data, a database configured to store the recovery simulation data and the preprocessed real data, a first graphic user interface including an automatic simulation generator configured to generate a machine learning model of the recovery simulation data and the preprocessed real data and generate predicted real data therefrom, and a second graphic user interface including a visualization unit configured to generate a visualized virtualization process result from the machine learning model.
    Type: Grant
    Filed: August 6, 2021
    Date of Patent: June 27, 2023
    Inventors: Song-Yi Han, Jae Min Kim, Jae Ho Kim, Ji-Seong Doh, Kang-Hyun Baek, Young Kyou Shin, Seong Hun Jang, Young Jun Cho, Yun Ji Choi
  • Patent number: 11658653
    Abstract: A gate resistance adjustment device has a waveform input unit that inputs waveforms of a drain voltage or a collector voltage and a drain current or a collector current at least one of during which a switching device is turned on and during which the switching device is turned off, an extraction unit that extracts time required for at least one of turning on or off the switching device and a steady-state drain current or a steady-state collector current of the switching device based on the waveforms input by the waveform input unit, a calculator that calculates a gate resistance of the switching device based on the time and the steady-state drain current or the steady-state collector current that are extracted by the extraction unit, and a setting unit that sets a gate resistance calculated by the calculator in the switching device.
    Type: Grant
    Filed: April 12, 2021
    Date of Patent: May 23, 2023
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Kazuto Takao, Yusuke Hayashi
  • Patent number: 11640576
    Abstract: A shelf monitoring device may be provided with a detector, a setter, a monitoring section, and an output section. The detector detects shelf labels attached to a display shelve to correspond to articles to be displayed on the display shelves, with video recognition of video data that includes the display shelves. Based on the position of the detected shelf label, the setter sets a monitoring area in which articles to be displayed on the display shelves in video data. The monitoring section monitors the shelving condition of the articles on the display shelves based on a change in video in response to the presence or absence of the article in the monitoring area. The output unit outputs the monitoring results according to the monitoring unit.
    Type: Grant
    Filed: August 14, 2018
    Date of Patent: May 2, 2023
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Kazuhiro Yanagi, Takeshi Wakako, Tetsurou Kakizawa
  • Patent number: 11625739
    Abstract: Systems, methods, apparatus, computer program code and means are provided for bulk costing using an application server which receives a bulk costing request from a remote user device, the bulk costing request including a list of items to be costed and a set of input variables. A final list of components and assemblies is generated including a scenario for each item to be costed for each combination of input variables. A deep costing analysis is performed of the final list, resulting in an estimated cost for each of the items to be costed for each of the input variables.
    Type: Grant
    Filed: May 20, 2020
    Date of Patent: April 11, 2023
    Assignee: APRIORI TECHNOLOGIES, INC
    Inventors: Paul Meadows, Amanda M. Bligh, Karen B. Gold, Barton Christopher Phinney
  • Patent number: 11599703
    Abstract: An apparatus reads a chip design comprising first and second blocks corresponding to first and second hardware modules, nodes, and data path segments that each connect a pair of nodes or a node to a block. Tracing backward along data paths that terminate at the second block, the apparatus identifies a secure cone. The secure cone comprises secure path segments of the data paths terminating at the second block and corresponding nodes. The apparatus identifies data paths originating at the first block and that are at least partially within the secure cone and determines whether any terminate outside the secure cone. When none of the data paths originating at the first block terminate outside the secure cone, the apparatus verifies the chip design. When a data path originating at the first block terminates outside the secure cone, the apparatus determines that the chip design has a potential leak.
    Type: Grant
    Filed: February 6, 2020
    Date of Patent: March 7, 2023
    Assignee: Mellanox Technologies, Ltd.
    Inventors: Dotan Finkelstein, Roman Manevich, Lidiya Ivanitskaya
  • Patent number: 11592482
    Abstract: Scan channel slicing methods and systems for testing of scan chains in an integrated circuit (IC) reduce the number of test cycles needed to effectively test all the scan chains in the IC, reducing the time and cost of testing. In scan channel slicing, rather than loading and unloading into scan chains high-power patterns having numerous switching transitions over the length of each scan chain, loading and unloading the entirety of the scan chain scan while observing it, chain load data is sliced, apportioning between the different scan chains independently observable sections (slices) of transition data in which all four bit-to-bit transitions (“0” to “0”, “0” to “1”, “1” to 0”, “1” to “1”) are ensured to exist. The remainder of the scan chain load data, which is not observed in the test procedure, can be low-transition data that consumes low dynamic power, such as mostly zeroes or mostly ones.
    Type: Grant
    Filed: March 17, 2021
    Date of Patent: February 28, 2023
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Sameer Chakravarthy Chillarige, Anil Malik, Bharath Nandakumar
  • Patent number: 11538815
    Abstract: Structures for an array of non-volatile memory cells and methods of forming a structure for an array of non-volatile memory cells. An active region of a substrate includes a first section having a side edge and a second section extending laterally from the side edge. The first section of the active region has a first length dimension in a direction parallel to the first side edge. The second section has a second length dimension in the direction parallel to the first side edge. The second length dimension is less than the first length dimension. A fin is positioned on the substrate in the second section of the active region. A gate structure extends over the fin and the second section of the active region.
    Type: Grant
    Filed: July 22, 2020
    Date of Patent: December 27, 2022
    Assignee: GlobalFoundries U.S. Inc.
    Inventors: Oscar D. Restrepo, Edmund K. Banghart, William Taylor
  • Patent number: 11520964
    Abstract: A method for assertion-based formal verification includes executing a plurality of formal verification regression runs on a model of an electronic design; for each of the regression runs, using a unique signature function, calculating and saving a unique signature value for each instantiation of a property of a plurality of properties of the model of the electronic design and a status result for that instantiation of the property in that regression run; and signing off a current version of the model of the electronic device and presenting as a status result for each the instantiations of a plurality of the properties of the current version of the model of the electronic design the preferred status result obtained for that instantiation of the property per the same unique signature value that was calculated for that instantiation of the property in previous runs of the plurality of formal verification regression runs.
    Type: Grant
    Filed: June 2, 2021
    Date of Patent: December 6, 2022
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ahmad S. Abo Foul, Lars Lundgren, Björn Håkan Hjort, Habeeb Farah, Eran Talmor, Paula S. Mathias
  • Patent number: 11475200
    Abstract: Various implementations described herein are directed to an apparatus having a processor and memory having instructions stored thereon that, when executed by the processor, cause the processor to identify conductive paths in a physical layout of an integrated circuit having nodal features that define a connective structure of the integrated circuit. The instructions may cause the processor to traverse the conductive paths to detect valid metals and redundant metals. The valid metals may refer to valid conductive paths between the nodal features that conjoin the nodal features. The redundant metals may refer to unused conductive paths that provide disjointed paths from the nodal features. The instructions may cause the processor to indicate the valid metals as marked with a first indicator and to indicate the redundant metals as unmarked with a second indicator that is different than the first indicator.
    Type: Grant
    Filed: June 4, 2020
    Date of Patent: October 18, 2022
    Assignee: Arm Limited
    Inventors: Yulin Shi, Vincent Philippe Schuppe, Ettore Amirante
  • Patent number: 11429777
    Abstract: A method of estimating warpage of an interposer and a method of manufacturing a semiconductor package by using the same are disclosed. The interposer includes a through electrode passing through a substrate, and a plurality of metal wiring layers and a plurality of insulating layers on the substrate, and the method of estimating warpage of an interposer includes: performing a temperature sweep test by using sample interposers, and measuring warpages according to temperatures; deriving a warpage slope, as a function of temperature, of each of the sample interposers; deriving a warpage model by linearly fitting the warpage slope with respect to an average pattern density of metal wiring layers in each of the sample interposers; and calculating a room temperature warpage reference value of the interposer based on the warpage model.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: August 30, 2022
    Inventors: Wonji Park, Jeonghoon Ahn, Jihyung Kim, Jaehee Oh, Yunki Choi, Minguk Kang
  • Patent number: 11409940
    Abstract: A method of validating support circuits of a qubit array includes generating virtual control waveforms from one or more abstracted support circuits of the qubit array. An abstracted pulse sequence is created from the virtual control waveforms. The abstracted pulse sequence is converted into waveforms. The waveforms are sent to individual qubits of the qubit array. Output data from the qubit array is captured in response to the sent waveforms.
    Type: Grant
    Filed: December 16, 2020
    Date of Patent: August 9, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Rajiv Joshi, Sudipto Chakraborty, Joseph Allen Glick, Pat Rosno
  • Patent number: 11409933
    Abstract: A method for diagnosing analog circuit fault based on cross wavelet features includes steps of: inputting an excitation signal to an analog circuit under test, and collecting time domain response output signals to form an original data sample set; dividing the original data sample set into a training sample set and a test sample set; performing cross wavelet decomposition on both sets; applying bidirectional two-dimensional linear discriminant analysis to process the wavelet cross spectra of the training sample set and the test sample set, and extracting fault feature vectors of the training sample set and the test sample set; submitting the fault feature vectors of the training sample set to a support vector machine for training an SVM classifier, constructing a support vector machine fault diagnosis model; and inputting the fault feature vectors of the test sample set into the model to perform fault classification.
    Type: Grant
    Filed: December 7, 2017
    Date of Patent: August 9, 2022
    Assignee: HEFEI UNIVERSITY OF TECHNOLOGY
    Inventors: Yigang He, Wei He, Zhigang Li, Lei Zuo, Bing Li, Liulu He
  • Patent number: 11379649
    Abstract: To specifically identify faults within a semiconductor cell, a SPICE netlist associated with the semiconductor cell design is retrieved, and one or more transistor characteristics are identified within the SPICE netlist. An advanced cell-aware fault model is executed for the semiconductor cell, and results are returned for one or more fault test methods of the advanced cell-aware fault model for a cell of the semiconductor chip design. A method for identifying faults within the semiconductor cell continues by correlating one more faults detected as a result of the fault test methods with one or more transistor characteristics within the SPICE netlist, and a user interface is generated for identifying one or more faulty transistors within the semiconductor chip design.
    Type: Grant
    Filed: January 26, 2021
    Date of Patent: July 5, 2022
    Assignee: Synopsys, Inc.
    Inventors: Ruifeng Guo, Brian Archer
  • Patent number: 11353499
    Abstract: An electronic chip includes an analog input connection pad and an analog output connection pad. A switch is coupled between the analog input connection pad and the analog output connection pad. In one embodiment, the chip operates in a self-test mode and in an active mode. The switch is closed only in the self-test mode.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: June 7, 2022
    Assignee: STMicroelectronics (Grenoble 2) SAS
    Inventors: Stéphane Ducrey, Pascal Raga
  • Patent number: 11341311
    Abstract: Aspects of the invention include generating a set of via mesh specifications for a cell within an integrated circuit. Each via mesh specification defines one or more straps on each layer above a first layer, which includes one or more pins that form a pin terminal, to a top layer that connects the cell to a net for interconnection of the cell with one or more other cells, and also one or more vias that interconnect adjacent ones of the layers. Aspects also include verifying whether each via mesh specification is a universally routable via mesh specification guaranteeing that the cell interconnects with other cells through the net while meeting all design rules, and including only the via mesh specifications of the set of via mesh specifications that are universally routable in a library of via mesh specifications. The library is used to finalize and fabricate the integrated circuit.
    Type: Grant
    Filed: June 24, 2021
    Date of Patent: May 24, 2022
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Joseph Koone, Smitha Reddy, Gustavo Enrique Tellez, Michael Alexander Bowen, Adam P. Matheny
  • Patent number: 11328112
    Abstract: In order to expedite testing (such as silicon chip testing), a test pattern that indicates a timing, order, and frequency (e.g., speed) of signals sent during the test may be divided into different portions. Also, a frequency at which each portion of the test pattern is to be run is determined. Each portion is run at a frequency that can be supported by only that portion. As a result, the slowest portion of the test pattern only limits the frequency at which its portion is run, while other portions are run at a faster frequency. This reduces a time taken to run the test pattern in a testing environment.
    Type: Grant
    Filed: January 27, 2021
    Date of Patent: May 10, 2022
    Assignee: NVIDIA CORPORATION
    Inventors: Shang-Ju Lee, Li-Wei Ko, Francisco M. Da Silva, Shyh-Horng Lin
  • Patent number: 11307240
    Abstract: The present disclosure provides an analysis method for a semiconductor device for analyzing a plurality of process parameters for manufacturing a HKMG fin field effect transistor. The analysis method specifically includes: establishing a plurality of process parameter models by grouping the plurality of process parameters in pairs; performing sensitivity analysis on each of the process parameter models; extracting a plurality of key process parameter models from the plurality of process parameter models based on the results of the sensitivity analysis; and performing data mining on the plurality of key process parameter models to determine a plurality of key process parameters and their correlations among the plurality of key process parameters. According to the analysis method provided by the present disclosure, related process parameters are highlighted by data mining and grouping, and the source of process parameter changes is explained. It is possible to adjust the process.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: April 19, 2022
    Assignee: Shanghai Huali Integrated Circuit Mfg. Co. Ltd.
    Inventor: Ping-Hsun Su
  • Patent number: 11249138
    Abstract: Provided are battery management apparatuses and methods. The battery management apparatus includes a sensitivity determiner configured to determine sensitivity of a battery state based on sensed battery information and previous battery state information, and an execution parameter adjuster configured to adjust a parameter for estimating the battery state based on the determined sensitivity of the battery state.
    Type: Grant
    Filed: April 27, 2020
    Date of Patent: February 15, 2022
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo Sun Hwang, Jin Ho Kim, Sang Do Park, Tae Won Song
  • Patent number: 11150304
    Abstract: Examples described herein include examples of method for predicting battery performance of a battery comprising collecting battery data corresponding to a plurality of batteries with characteristics similar to the battery during a first time period, storing the collected battery data in a staging memory, generating a logarithmic regression based on the collected battery data, and predicting battery performance for the battery based on the logarithmic regression.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: October 19, 2021
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Matheus Eichelberger, John Landry, Marcio Maraschin, Roberto Argenta Coutinho
  • Patent number: 11100270
    Abstract: A method for assigning connections between IO pad pins and connectors on an integrated circuit (IC) die. A pattern (300) including a physical layout of connectors (302) and pad pins (304) is associated with a mapping of connections between the connectors (302) and the pad pins (304). A processor (204) identifies instances (402, 404) of the pattern (300) within a design image (400) of an integrated circuit (IC) die using a machine learning model. The design image (400) includes a physical layout of connectors (414) and pad pins (416). For each identified instance (402, 404) of the pattern (300) within the design image (400), the mapping of connections is assigned to respective connectors (414) and pad pins (416) in the identified instance (402, 404).
    Type: Grant
    Filed: June 17, 2020
    Date of Patent: August 24, 2021
    Assignee: Synopsys, Inc.
    Inventors: Xun Liu, Shamik Saha
  • Patent number: 11092901
    Abstract: Critical dimension values can be obtained from wafer structures at predefined measurement sites. Coefficients of a preset model and another model with a different term are determined using critical dimension values from the measurement sites. The models approximate the critical dimension values, the process parameters and/or correction values of the process parameters as a function of at least two position coordinates. An updated model is selected from the models based on a criterion weighting the residuals between approximated critical dimension values, the number of terms of the model and/or the order or the terms of the model.
    Type: Grant
    Filed: February 19, 2020
    Date of Patent: August 17, 2021
    Assignee: Qoniac GmbH
    Inventors: Stefan Buhl, Philip Groeger, Patrick Lomtscher
  • Patent number: 11018084
    Abstract: An integrated circuit (IC) can be configured to provide a managed power distribution to circuits within a plurality of regions of the IC. Each region of the plurality of regions can include a corresponding set of circuits that are electrically connected to a corresponding virtual power island (VPI) within said each region. A global power distribution structure within the IC can be configured to be electrically interconnected to an off-chip voltage supply. The IC can also include a plurality of sets of vertical interconnects (VIs), each set of VIs electrically interconnected to a VPI within a corresponding region. Each set of VIs can also be connected to the global power distribution structure, and can be used to provide a specifically managed voltage through a VPI to a set of circuits within a corresponding region of the IC.
    Type: Grant
    Filed: July 26, 2019
    Date of Patent: May 25, 2021
    Assignee: International Business Machines Corporation
    Inventors: Anthony G. Aipperspach, Jeffrey D. Brown, Kirk D. Peterson, John E. Sheets, II
  • Patent number: 10984161
    Abstract: The present disclosure relates to a computer-implemented method for use in a formal verification of an electronic design. Embodiments may include receiving a reference model including a software specification, an implementation model at a register transfer level, and a property that analyzes equivalence between the reference model and the implementation model. The method may further include generating one or more case split hints based upon the reference model, that may be used to decompose the design state space into smaller partitions and performing an abstraction operation on a portion of design logic associated with one or more partitions in order to eliminate design elements that are irrelevant to a particular property. Embodiments may also include performing model checking on the abstract models to determine their accuracy.
    Type: Grant
    Filed: November 20, 2019
    Date of Patent: April 20, 2021
    Assignee: Cadence Design Systems, Inc.
    Inventors: Rajdeep Mukherjee, Ravi Prakash, Benjamin Meng-Ching Chen, Habeeb Farah, Ziyad Hanna
  • Patent number: 10977416
    Abstract: A method for cell swapping is provided. A location for swapping a first cell is determined. One or more legal positions for cell placement are determined at the location. A plurality of cells is determined for of the plurality of legal positions. A second cell from the plurality of cells is determined based on timing information associated with each of the plurality. The first cell is swapped with the second cell.
    Type: Grant
    Filed: January 25, 2019
    Date of Patent: April 13, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Yen-Hung Lin
  • Patent number: 10936785
    Abstract: A method (of manufacturing a semiconductor device) includes, for a layout diagram stored on a non-transitory computer-readable medium, generating the layout diagram including: populating a row which extends in a first direction with a group of cells, each cell representing a circuit, and first and second side boundaries of each cell being substantially parallel and extending in a second direction which is substantially perpendicular to the first direction; locating, relative to the first direction, cells so that neighboring ones of the cells are substantially abutting; and reducing an aggregate leakage tendency of the group by performing at least one of the following, (A) changing an orientation of at least one of the cells, or (B) changing locations correspondingly of at least two of the cells.
    Type: Grant
    Filed: August 21, 2019
    Date of Patent: March 2, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Hiranmay Biswas, Chung-Hsing Wang, Kuo-Nan Yang, Jia Han Lin
  • Patent number: 10901025
    Abstract: Methods and systems for measuring degradation includes measuring an initial electrical characteristic of a test device in a ring oscillator that includes multiple oscillator stages and a test stage having a delay stage and the test device. The ring oscillator is operated for a period of time. The electrical characteristic of the test device is measured after operating the ring oscillator. A level of degradation in the test device is determined using a processor based on the measurements of the electrical characteristic of the test device.
    Type: Grant
    Filed: August 23, 2018
    Date of Patent: January 26, 2021
    Assignee: International Business Machines Corporation
    Inventors: Barry P. Linder, Keith A. Jenkins
  • Patent number: 10853553
    Abstract: Improving an initial via in a circuit comprises: obtaining layout information associated with an initial via structure in a circuit, the initial via comprising an initial lower metal enclosure and an initial upper metal enclosure connected by an initial cut; determining layout information associated with a multiconnection via structure comprising a plurality of sibling vias having at least one additional upper metal enclosure and at least one additional lower metal enclosure; updating the layout information associated with the initial via with the layout information associated with the multiconnection via structure; and outputting the updated layout information. The plurality of sibling vias are connected by a plurality of corresponding sibling cuts, and the multiconnection via structure has lower resistance than the initial via structure. In some embodiments, the multiconnection via is efficiently represented in using a master template.
    Type: Grant
    Filed: June 7, 2019
    Date of Patent: December 1, 2020
    Assignee: Avatar Integrated Systems, Inc.
    Inventors: Ping-San Tzeng, Mingsheng Han, Yucheng Wang
  • Patent number: 10834600
    Abstract: An example method includes obtaining a plurality of data items. Each data item includes an indication of a particular location, an indication that a wireless signal from a first access point was observed at that location, and an indication of a time at which the wireless signal from the first access point was observed at that location. The method also includes determining a locational stability of the first access point based on the data items. Determining the locational stability of the first access point includes clustering the plurality of data items into one or more clusters based on the locations indicated in the plurality of data items, determining whether the N most recent data items are associated with a common cluster, and determining whether a time span between the N most recent data items exceeds a threshold period of time.
    Type: Grant
    Filed: September 22, 2017
    Date of Patent: November 10, 2020
    Assignee: Apple Inc.
    Inventors: Margaret H. Tam, David Benjamin Millman, Brian Stephen Smith, Benjamin A. Detwiler
  • Patent number: 10831939
    Abstract: For printed circuit board (“PCB”) design, methods, systems, and apparatuses are disclosed. One apparatus includes a component ID module that identifies a PCB component to be placed on a current board design; a search module that displays one or more instances of previous board designs containing the identified PCB component, wherein displaying the one or more instances of previous board designs containing the identified PCB component comprises displaying a region surrounding the identified PCB component; and an import module that imports a selected portion of a board design into the current board design from a selected one of the instances of previous board designs containing the identified PCB component.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: November 10, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael A. Christo, David Green, Julio A. Maldonado, Diana D. Zurovetz
  • Patent number: 10776556
    Abstract: A wiring board design support apparatus, in which a plurality of vias are arranged on a wiring board, includes a design information storage unit that stores design information of vias and wirings to be arranged on the wiring board, and a wiring board via arrangement unit that moves, on a basis of the design information, positions of lattice points arranged with same intervals in vertical and horizontal directions by a given moving amount in a vertical direction and a horizontal direction while alternately changing a moving direction in the horizontal direction of the lattice points for each row of the lattice and alternately changing a moving direction in the vertical direction of the lattice points for each column of the lattice, so as to arrange vias at positions of the lattice points after movement.
    Type: Grant
    Filed: June 27, 2019
    Date of Patent: September 15, 2020
    Assignee: KABUSHIKI KAISHA NIHON MICRONICS
    Inventors: Katsushi Mikuni, Ryuichi Yagisawa, Akitsugu Yamaguchi
  • Patent number: 10628623
    Abstract: A recording medium recording a detour wiring check program, the processing includes: acquiring target feature information regarding a target path in a target circuit and target positional information indicating a position of each cell on the target path; using a storage storing size information regarding a size of a frame used to determine whether there is a possibility that a wiring which couples cells on a path in a circuit detours; determining whether each cell between transmission and reception cells in the target path is included in a frame, which has a size based on target size information corresponding to the target feature information; and outputting that there is the possibility that the wiring which couples each cells on the target path detours when it is determined that at least one cell among the cells between the transmission and reception cells is not included in the frame.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: April 21, 2020
    Assignee: FUJITSU LIMITED
    Inventor: Michitaka Hashimoto
  • Patent number: 10564706
    Abstract: A power source analysis method includes receiving a target number, performing voltage drop analysis on a plurality of power sources in a power delivery network (PDN) to determine respective supply currents of the power sources, sorting the supply currents of the power sources, and selecting a plurality of target power sources from the power sources according to a sorted result. The total number of the selected target power sources equals the target number.
    Type: Grant
    Filed: December 22, 2016
    Date of Patent: February 18, 2020
    Assignee: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chang-Tzu Lin, Ding-Ming Kwai, I-Hsuan Lee
  • Patent number: 10546090
    Abstract: Hierarchical design levels describe semiconductor designs and define architecture, behavior, structure, function, etc. for the designs. A virtual cell model based on cells populating a design is constructed and used for purposes including design simulation, analysis, verification, validation, and so on. A cell and multiple instances of the cell are identified across a design. An empty cell model comparable to the identified cell is created. A compressed representation of unsolved geometric data based on the identified cell data and a virtual hierarchical layer (VHL) are generated as model data, and the model data is placed into the empty cell model. As a result of the placement of the model data, a virtual cell model is created.
    Type: Grant
    Filed: May 15, 2015
    Date of Patent: January 28, 2020
    Assignee: SYNOPSYS, INC.
    Inventors: Gary B Nifong, Jun Chen, Karthikeyan Muthalagu, James Lewis Nance, Zhen Ren, Ying Shi
  • Patent number: 10540475
    Abstract: A system including a memory; and a simulation tool connected to the memory. The simulation tool is configured to receive information related to a plurality of dies. The simulation tool is further configured to receive a plurality of input vectors. The simulation tool is further configured to determining a temperature profile for a first die of the plurality of dies. The simulation tool is further configured to simulate operation of a second die of the plurality of dies based on the determined temperature profile and the received plurality of input vectors.
    Type: Grant
    Filed: October 2, 2018
    Date of Patent: January 21, 2020
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Wen Chang, Hui Yu Lee, Ya Yun Liu, Jui-Feng Kuan, Yi-Kan Cheng
  • Patent number: 10540474
    Abstract: A chip temperature computation method and a chip temperature computation device are provided. The chip temperature computation method includes: computing an upper layer thermal resistance and a lower layer thermal resistance of a chip, computing a total thermal resistance of the chip, and computing a temperature of the chip according to the total thermal resistance.
    Type: Grant
    Filed: March 15, 2018
    Date of Patent: January 21, 2020
    Assignee: Industrial Technology Research Institute
    Inventors: Heng-Chieh Chien, Sheng-Tsai Wu, Ming-Ji Dai, Chih-Ming Shen
  • Patent number: 10523186
    Abstract: An apparatus is provided comprising receiving circuitry to receive a representation of a circuit comprising a plurality of flops. Categorisation circuitry determines data dependencies between the flops from the representation and generates a categorisation of the flops into one of at least: a vulnerable category, a conditional category, and an isolated category, in dependence on the data dependencies. The categorisation indicates the vulnerability of the flops to transient errors. Output circuitry outputs the categorisation of the flops. The conditional category comprises those of the flops whose change in value is indicated by a change in a value in a corresponding flop in the flops or corresponding signal.
    Type: Grant
    Filed: November 30, 2018
    Date of Patent: December 31, 2019
    Assignee: Arm Limited
    Inventors: Balaji Venu, Reiley Jeyapaul, Xabier Iturbe, Matthew James Horsnell, David Michael Gilday
  • Patent number: 10504853
    Abstract: An electronic device includes a first semiconductor die, a plurality of bumps, and a substrate. The first semiconductor die includes a first conductive feature. The bumps are disposed on the first semiconductor die and are connected to the first conductive feature. The substrate includes a second conductive feature. The bumps are electrically connected to the second conductive feature. The first conductive feature, the bumps, and the second conductive feature are configured to form at least one ring structure.
    Type: Grant
    Filed: March 20, 2018
    Date of Patent: December 10, 2019
    Assignee: REALTEK SEMICONDUCTOR CORPORATION
    Inventors: Hsiao-Tsung Yen, Yuh-Sheng Jean, Ta-Hsun Yeh
  • Patent number: 10474785
    Abstract: A device receives void configuration information that identifies a set of rules for generating void information based on printed circuit board (PCB) design information, and receives, based on receiving the void configuration information, the PCB design information that identifies via information of a PCB. The device compares, based on receiving the PCB design information, the set of rules, associated with the void configuration information, and the via information associated with the PCB design information, and generates the void information based on comparing the set of rules, associated with the void configuration information, and the via information associated with the PCB design information. The void information includes a set of parameters associated with a set of voids to be included in the PCB. The device performs an action based on generating the void information.
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: November 12, 2019
    Assignee: Juniper Networks, Inc.
    Inventors: Venkata G Ramanan, John P. Nguyen, Santosh Kumar Pappu
  • Patent number: 10467370
    Abstract: Disclosed are methods, systems, and articles of manufacture for implementing a schematic circuit design component as a transmission line model in a schematic driven extracted view for an electronic design. These techniques identify a schematic circuit component design form a schematic design of an electronic design and identify or determine layout device information of a layout circuit component design corresponding to the schematic circuit component design. An extracted view may be generated or identified for the electronic design at least by using a transmission line model based in part or in whole upon connectivity information or a hierarchical structure of the electronic design. The electronic design may then be modified or updated based in part or in whole upon results of performing one or more analyses on the extracted view with the transmission line model.
    Type: Grant
    Filed: September 30, 2017
    Date of Patent: November 5, 2019
    Assignee: Cadence Design Systems, Inc.
    Inventors: Taranjit Singh Kukal, Arnold Jean Marie Gustave Ginetti, Preeti Chauhan, Nikhil Gupta, Vikas Aggarwal, Vikrant Khanna
  • Patent number: 10444276
    Abstract: A method of analyzing power noise in a semiconductor device includes; generating modified current information by modifying present current information based on a previous analysis result, updating a current vector based on the modified current information, and generating a present analysis result by calculating a voltage vector from the updated current vector.
    Type: Grant
    Filed: April 10, 2018
    Date of Patent: October 15, 2019
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young Hoe Cheon, Chan Seok Hwang
  • Patent number: 10423741
    Abstract: A method including selecting multiple input parameters of a device configuration environment to perform multiple simulations on an electronic device defined by the device configuration environment is provided. The method with multiple values for the multiple input parameters and a value of an output parameter resulting from the multiple simulations, and extracting a distribution of output parameter values and a distribution of input parameter values from a database. The method also includes finding a correlation involving the multiple input parameters and the output parameter based on a target range of the output parameter, and identifying an expected value of the output parameter using a range of values of the multiple input parameters in the correlation involving the multiple input parameters and the output parameter. A system and a nontransitory, computer-readable medium including instructions to perform the above method are also provided.
    Type: Grant
    Filed: November 15, 2017
    Date of Patent: September 24, 2019
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Michele Petracca, Yosinori Watanabe, Yael Kinderman, Shlomi Uziel, Ido Avraham
  • Patent number: 10372865
    Abstract: Disclosed aspects relate to facilitating system design based on unified chip specification. It can be determined based on the system design that a first interface of a first chip is to be connected to a second interface of a second chip. Then a first configuration of the first interface and a second configuration of the second interface are determined based on a unified specification. The unified specification at least specifies configurations of a plurality of chip interfaces for respective usages. A hardware design may be automatically generated based on the first and second configurations. The hardware design may include a hardware-level connection between the first and second interfaces.
    Type: Grant
    Filed: November 19, 2016
    Date of Patent: August 6, 2019
    Assignee: International Business Machines Corporation
    Inventors: Yang Liu, Yong Lu, Peng LM Shao, Jiang Yu