Defect (including Design Rule Checking) Patents (Class 716/52)
  • Patent number: 9547892
    Abstract: Disclosed are methods and apparatus for qualifying a photolithographic reticle. A reticle inspection tool is used to acquire images at different imaging configurations from each of the pattern areas of a calibration reticle. A reticle near field is recovered for each of the pattern areas of the calibration reticle based on the acquired images from each pattern area of the calibration reticle. Using the recovered reticle near field for the calibration reticle, a lithography model for simulating wafer images is generated based on the reticle near field. Images are then acquired at different imaging configurations from each of the pattern areas of a test reticle. A reticle near field for the test reticle is then recovered based on the acquired images from the test reticle.
    Type: Grant
    Filed: August 10, 2015
    Date of Patent: January 17, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Rui-fang Shi, Abdurrahman Sezginer
  • Patent number: 9543192
    Abstract: A stitched device is disclosed. The stitched device includes first and second base devices having first and second stitched interconnects electrically coupled in a stitching level. This enables a single substrate of the stitched device to have electrically coupled first and second base devices.
    Type: Grant
    Filed: May 18, 2015
    Date of Patent: January 10, 2017
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Wei Shao, Juan Boon Tan, Wei Liu, Wanbing Yi
  • Patent number: 9535327
    Abstract: A method for fabricating a semiconductor device, includes dividing a pattern region of a desired pattern that is to be formed on a semiconductor substrate into a plurality of sub-regions; calculating combination condition including a shape of illumination light for transferring and a mask pattern obtained by correcting a partial pattern in the sub-region of the desired pattern formed on a mask used during transferring for each of the plurality of sub-regions, to make a dimension error of the partial pattern of each of the plurality of sub-regions smaller when transferred to the semiconductor substrate; and forming the desired pattern by making multiple exposures on the semiconductor substrate in such a way that the partial patterns of the sub-regions divided are sequentially transferred by transferring a pattern to the semiconductor substrate using the combination conditions calculated for each of the sub-regions.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: January 3, 2017
    Assignee: NuFlare Technology, Inc.
    Inventor: Takayuki Abe
  • Patent number: 9529254
    Abstract: A layout pattern decomposition method includes following steps. A layout pattern is received. The layout pattern includes a plurality of features, and an edge-to-edge space is respectively defined in between two adjacent features. A sum of a width of the edge-to-edge space and a width of the feature on a left side of the edge-to-edge space and a sum of the width of the edge-to-edge space and a width of the feature on a right side of the edge-to-edge space are respectively calculated. The sums and a predetermined value are respectively compared. When any one of the sums is smaller than the predetermined value, the two features on the two sides of the edge-to-edge space are colored by a first color and alternatively a second color. The features including the first color are assigned to a first pattern and the features including the second color to a second pattern.
    Type: Grant
    Filed: October 1, 2014
    Date of Patent: December 27, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Hsien Tang, Yao-Jen Fan, Chin-Lung Lin
  • Patent number: 9507250
    Abstract: A method, computer program product, and data processing system for performing an improved optical proximity correction are disclosed, which better respect the electrical properties of the device being manufactured. A preferred embodiment of the present invention performs OPC by first dividing the perimeter of a mask region into a plurality of segments, then grouping the segments into at least two distinct groups, wherein segments in the first of these groups are adjusted in position so as to minimize edge placement error (EPE) when the photolithography using the mask is simulated. Segments in the second group are adjusted in position so as to minimize cumulative error in a dimension spanning the region, wherein the span of such dimension extends from segments in the first group to segments in the second group. Correction so obtained by this process more readily preserves the intended electrical behavior of the original device design.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: November 29, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kanak B. Agarwal, Sani R. Nassif
  • Patent number: 9500945
    Abstract: Pattern classification based proximity corrections for reticle fabrication are provided. A digital layout of a circuit design and proximity compensation data generated based on measurements of formed reticle elements are obtained. The proximity compensation data includes proximity correction values to correct for proximity effects of a reticle-formation process to form a reticle for use in fabricating a circuit of the circuit design. Based on a pattern classification of one or more patterns in the digital layout of the circuit design, at least one proximity correction is applied to the digital layout of the circuit design to facilitate correcting for proximity effects of the reticle-formation process, the at least one proximity correction being determined based on one or more of the proximity correction values of the obtained proximity compensation data. Additional adjustments to the digital layout are also provided according to aspects described herein.
    Type: Grant
    Filed: June 9, 2015
    Date of Patent: November 22, 2016
    Assignee: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
    Inventors: Guoxiang Ning, Chin Teong Lim, Paul Ackmann, Christian Buergel
  • Patent number: 9489481
    Abstract: A layout design method is disclosed. The layout design method includes: (a) providing an original layout file; (b) performing a redundant via (RV) filling on the original layout file so as to generate a second layout file; (c) merging the second layout file with the original layout file to generate a third layout file; (d) performing a design rule check (DRC) verification on the third layout file by directly invoking a DRC code in a Process Design Kit (PDK); (e) generating, based on a result of the DRC verification, a fourth layout file including DRC errors; (f) performing a layout operation to remove DRC errors from the second layout file using the fourth layout file, so as to generate a fifth layout file; and (g) merging the fifth layout file with the original layout file to generate a sixth layout file.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: November 8, 2016
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Qiang Fan, Xue Li
  • Patent number: 9465071
    Abstract: An method of generating a featured scan pattern for scan test includes: providing a plurality of predetermined scan patterns to perform scan test on a plurality of devices under test (DUT) under a stress condition to generate a plurality of test responses of each DUT; grouping a plurality of specific test responses of each DUT from the test responses of each DUT to determine a feature value corresponding to a failure feature for each DUT; and generating at least one featured scan pattern according to the feature value of each DUT.
    Type: Grant
    Filed: March 3, 2015
    Date of Patent: October 11, 2016
    Assignee: MEDIATEK INC.
    Inventors: Harry Hai Chen, Shih-Hua Kuo, Chih-Sheng Tung
  • Patent number: 9459537
    Abstract: The present invention discloses various system and process embodiments where wafer-metrology and direct measurements of the lithography apparatus characteristics are combined to achieve temporal drift reduction in a lithography apparatus/process using a simulation model. The simulation model may have sub-components. For example, a sub-model may represent a first set of optical conditions, and another sub-model may represent a second set of optical conditions. The first set of optical conditions may be a standard set of illumination conditions, and the second set may be a custom set of illumination conditions. Using the inter-relationship of the sub-models, stability control under custom illumination condition can be achieved faster without wafer metrology.
    Type: Grant
    Filed: June 21, 2012
    Date of Patent: October 4, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Yu Cao, Jun Ye, Venugopal Vellanki, Johannes Catharinus Hubertus Mulkens
  • Patent number: 9454635
    Abstract: A layout design for a semiconductor chip includes two or more layers, each including a set of shapes, which are used for various fabrication processes during the manufacture of a physical semiconductor chip. Some manufacturing processes create physical features on the semiconductor chip that do not directly correspond to shapes in the layout design. To facilitate design analysis of such semiconductor chips, shapes from the layout design are selected and manipulated by performing one or more operations, such as Boolean operations, on the shapes to generate new shapes. The new shapes, which can represent physical features of the manufactured semiconductor chip, are then displayed, along with an image of the corresponding section of the physical semiconductor chip, to facilitate design analysis, such as failure analysis.
    Type: Grant
    Filed: January 24, 2015
    Date of Patent: September 27, 2016
    Assignee: Synopsys, Inc.
    Inventor: Ankush Oberai
  • Patent number: 9443051
    Abstract: Aspects of the invention relate to yield analysis techniques for generating root cause candidates for yield analysis. With various implementations of the invention, points of interest are first identified in a layout design. Next, regions of interest are determined for the identified points of interest. Next, one or more properties are extracted from the regions of interest. Based at least on the one or more properties, diagnosis reports of failing devices fabricated according to the layout design are analyzed to identify probable root causes.
    Type: Grant
    Filed: August 22, 2013
    Date of Patent: September 13, 2016
    Assignee: Mentor Graphics Corporation
    Inventors: Robert Brady Benware, Wu-Tung Cheng, Christopher Schuermyer, Jonathan J Muirhead, Chen-Yi Chang
  • Patent number: 9406573
    Abstract: An exposure mask fabrication method includes measuring and storing defect position data, for each EUV exposure mask blank, that indicates the position of at least one defect in each of plural EUV exposure mask blanks, inputting pattern data defining a figure pattern to be written, searching, when the figure pattern is written, in plural EUV exposure mask blanks, an EUV exposure mask blank where the figure pattern can be arranged such that the number of defects not located in a light shielding region is less than or equal to a threshold value, based on the arrangement position of the figure pattern in the pattern data, using the defect position data for each EUV exposure mask blank, and writing the figure pattern on a searched EUV exposure mask blank such that the number of defects not located in the light shielding region is less than or equal to the threshold value.
    Type: Grant
    Filed: January 7, 2015
    Date of Patent: August 2, 2016
    Assignee: NUFLARE TECHNOLOGY, INC.
    Inventors: Takayuki Abe, Tetsuo Yamaguchi
  • Patent number: 9405879
    Abstract: Some embodiments relate to a method of hierarchical layout design, comprising forming a layout of an integrated circuit (IC) according to a design rule that specifies a minimum design rule distance between a neighboring layout features within the IC. Forming the layout comprises forming first and second standard cells having first and second layout features, respectively, that about one-another so that a distance between the first and second layout features is less than the minimum design rule distance. The method further comprises configuring design rule checking (DRC) to ignore this fail. Instead, the layout is modified with an automated layout tool by merging the first and second layout features, or by removing a portion of the first or second layout feature to increase the distance between the first and second layout features to be greater than or equal to the minimum distance.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: August 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Sen Wang, Ting Yu Chen, Ken-Hsien Hsieh, Ming-Yi Lin, Chen-Hung Lu
  • Patent number: 9373165
    Abstract: Methods and systems enabling ultra-high resolution topography measurements of patterned wafers are disclosed. Measurements obtained utilizing the ultra-high resolution metrology may be utilized to improve wafer metrology measurement accuracies. Additionally, measurements obtained utilizing the ultra-high resolution metrology may also be utilized to provide feedback and/or calibration control to improve fabrication and design of wafers.
    Type: Grant
    Filed: October 22, 2014
    Date of Patent: June 21, 2016
    Assignee: KLA-Tencor Corporation
    Inventors: Amir Azordegan, Pradeep Vukkadala, Craig MacNaughton, Jaydeep Sinha
  • Patent number: 9367661
    Abstract: A method of preparing mask data, the method begins with performing a logic operation to a design layout, and an optical proximity correction (OPC) is performed to the design layout to form an OPC feature. The OPC feature has a first jog and a second jog on a line, and the first jog is larger than the second jog in width. The OPC feature is resized to form a resized first jog and a resized second jog on the line if a width ratio of the first jog to the second jog being smaller than a predetermined value.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: June 14, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jia-Guei Jou, Yi-Chiuan Luo, Chih-Chung Huang, Chi-Ming Tsai, Chih-Chiang Tu
  • Patent number: 9361424
    Abstract: A system for analyzing IC layouts and designs by calculating variations of a number of objects to be created on a semiconductor wafer as a result of different process conditions. The variations are analyzed to determine individual feature failures or to rank layout designs by their susceptibility to process variations. In one embodiment, the variations are represented by PV-bands having an inner edge that defines the smallest area in which an object will always print and an outer edge that defines the largest area in which an object will print under some process conditions.
    Type: Grant
    Filed: August 4, 2014
    Date of Patent: June 7, 2016
    Assignee: Mentor Graphics Corporation
    Inventor: Juan Andres Torres Robles
  • Patent number: 9354511
    Abstract: A method and apparatus of a novel modeling scheme for performing optical lithography simulation for a multi-tone mask with a plurality of mask tones is described. The method generates a transmission function matrix based on a setting of the multi-tone mask. The method applies the transmission function matrix to transform a formula for calculating light intensity from Abbe's form to Hopkins' form while maintaining the accuracy of Abbe's form. The method then computes the light intensity using the transformed formula.
    Type: Grant
    Filed: December 6, 2013
    Date of Patent: May 31, 2016
    Assignee: Synopsys, Inc.
    Inventors: Hongbo Zhang, Qiliang Yan
  • Patent number: 9330229
    Abstract: The optical proximity correction verification method includes loading a layout data to be verified to a processor, loading a reference layout data to the processor. The processor performs a first stage Boolean operation on the layout data to be verified to generate a first verified data. The processor performs a layout versus layout verification on the first verified data by using a user-defined verification tool of optical proximity correction data in a database to generate second verified data according to the reference layout data. The processor performs a second stage Boolean operation on the second verified data to generate a third verified data if the layout versus layout verification is successfully performed. The processor performs a Boolean check on the third verified data to generate fourth verified data using the reference layout data.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: May 3, 2016
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chung-Chih Chang, Kuo-Hsun Huang, Chao-Yao Chiang
  • Patent number: 9292646
    Abstract: A method comprises grouping sub-components based on an association between the sub-components and connections coupled to the sub-components. The method also comprises determining a total ratio area per group based on normalized ratio units of the sub-components. The method further comprises identifying a priority group based on a ranking of the groups, the ranking being based on the total area per group. The method also comprises assigning, by a priority assignment process, a first color scheme or a second color scheme to the sub-components included in the priority group. The method further comprises assigning, by an other assignment process, the first color scheme or the second color scheme to the remainder of the sub-components. At least the other assignment process is based on a balancing of a first total area of sub-components having the first color scheme with a second total area of sub-components having the second color scheme.
    Type: Grant
    Filed: June 18, 2014
    Date of Patent: March 22, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Hui Yu Lee
  • Patent number: 9262568
    Abstract: Embodiments of the present invention are a system, a computer program product, and a method for implementing an integrated circuit design. The method for implementing an integrated circuit design includes accessing an original electronic representation of an integrated circuit layout from a first user file, and accessing a defined sensitivity index that characterizes an impact of the dummy pattern on the functional component. The impact of the dummy pattern on the functional component is analyzed and it is determined whether the impact is within a limit of the sensitivity index. One of a plurality of features of the dummy pattern is adjusted if the impact is not within the limit to form a generated electronic representation of a modified integrated circuit layout, and the generated electronic representation is output to a second user file. The integrated circuit layout includes a dummy pattern and a functional component.
    Type: Grant
    Filed: April 20, 2010
    Date of Patent: February 16, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chung-Min Fu, Meng-Fu You
  • Patent number: 9256708
    Abstract: Some embodiments provide a method for automatically generating several design solutions that remedy a design rule violation committed by a set of shapes in an IC design layout. The method receives a marker that indicates the design rule violation and contains information about the violation. The marker in some embodiments can be rendered as a geometric shape in the IC design layout. Based on the marker, the method generates several solutions each of which will cause the set of shapes to meet the design rule when the solution is applied to the set. Each solution requires moving at least one edge of a shape in the set of shapes.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: February 9, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventor: Karun Sharma
  • Patent number: 9213800
    Abstract: The optical proximity correction verification method includes loading a layout data to be verified to a processor, loading a reference layout data to the processor. The processor performs a first stage Boolean operation on the layout data to be verified to generate first verified data. The processor performs a layout versus layout verification on the first verified data to generate second verified data by using the reference layout data. If the layout versus layout verification is successfully performed, the processor performs a second stage Boolean operation on the second verified data to generate third verified data. By using the reference layout data, the processor performs a Boolean check on the third verified data to generate fourth verified data.
    Type: Grant
    Filed: August 31, 2014
    Date of Patent: December 15, 2015
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chung-Chih Chang, Kuo-Hsun Huang, Chao-Yao Chiang
  • Patent number: 9213790
    Abstract: Among other things, one or more techniques and systems for performing design layout are provided. An initial design layout is associated with an electrical component, such as a standard cell. A conflict graph is generated based upon the initial design layout. The conflict graph comprises one or more nodes, representing polygons within the initial design layout, connected by one or more edges. A same-process edge specifies that two nodes are to be generated by the same pattern process, while a different-process edge specified that two nodes are to be generated by different pattern processes, such as a mandrel pattern process and a passive fill pattern process. The conflict graph is evaluated to identify a conflict, such as a self-aligned multiple pattering (SAMP) conflict, associated with the initial design layout. The conflict is visually displayed so that the initial design layout can be modified to resolve the conflict.
    Type: Grant
    Filed: July 9, 2014
    Date of Patent: December 15, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chin-Chang Hsu, HungLung Lin, Ying-Yu Shen, Wen-Ju Yang, Ken-Hsien Hsieh
  • Patent number: 9195791
    Abstract: Some embodiments of the present invention create a layout for a circuit design which includes one or more circuit modules. The system can receive a nominal implementation of a circuit module, and a user-defined module generator capable of generating one or more custom implementations of the circuit module from an existing implementation of the circuit module. Next, the system can create the layout for the circuit design by executing the user-defined module generator on at least one processor to generate one or more custom implementations of the circuit module from the nominal implementation. The system can then use the one or more custom implementations of the circuit module in the layout.
    Type: Grant
    Filed: June 1, 2010
    Date of Patent: November 24, 2015
    Assignee: SYNOPSYS, INC.
    Inventors: Haichun Chen, Greg Woolhiser, Scott I. Chase
  • Patent number: 9176760
    Abstract: The various aspects provide a dynamic compilation framework that includes a machine-independent optimization module operating on a computing device and methods for optimizing code with the machine-independent optimization module using a single, combined-forwards-backwards pass of the code. In the various aspects, the machine-independent optimization module may generate a graph of nodes from the IR, optimize nodes in the graph using forwards and backwards optimizations, and propagating the forwards and backwards optimizations to nodes in a bounded subgraph recognized or defined based on the position of the node currently being optimized. In the various aspects, the machine-independent optimization module may optimize the graph by performing forwards and/or backwards optimizations during a single pass through the graph, thereby achieving an effective degree of optimization and shorter overall compile times.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: November 3, 2015
    Assignee: QUALCOMM Incorporated
    Inventors: Ashok Halambi, Gregory M. Wright, Christopher A. Vick
  • Patent number: 9165102
    Abstract: This disclosure describes a multi-height routing cell and utilization of the multi-height routing in an integrated circuit to reduce routing congestion in a standard cell design floorplan. The multi-height routing cell includes a bypass connection, or “tunnel,” that routes a signal through a non-routing layer and under an impeding power rail. The multi-height routing cell includes bypass connectors on both sides of the bypass connection that provide connection points for which to connect standard cells on opposite sides of the impeding power rail. As such, the multi-height routing cell provides a route underneath the impeding power rail and, in turn, reducing routing congestion in the standard cell design floorplan.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: October 20, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Colin Macdonald, Anis M. Jarrar, Kristen L. Mason
  • Patent number: 9158885
    Abstract: Methods of the present disclosure can include: using a computing device to perform actions including: applying a design rule check (DRC) on a proposed integrated circuit (IC) layout, wherein the DRC applies a set of restrictive design rules (RDRs) in response to the proposed IC layout being a contact area (CA) layout; computing a conflict graph for the proposed IC layout in response to one of the IC layout being a metal layer layout and the set of RDRs being satisfied; determining whether the IC layout is one of non-colorable, indeterminate, partially colorable, and fully colorable; and partially coloring the IC layout and identifying non-colorable nodes in response to the IC layout being indeterminate or partially colorable.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: October 13, 2015
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Michael S. Gray, Matthew T. Guzowski, Alexander Ivrii, Lars W. Liebmann, Kevin W. McCullen, Gustavo E. Tellez, Michael Gester
  • Patent number: 9159557
    Abstract: The present disclosure provides methods and systems for mitigating print-out defects that result during semiconductor simulation and/or fabrication. One of the methods disclosed herein includes steps of receiving a first desired sub-layout and a second desired sub-layout and of optimizing the first desired sub-layout and the second desired sub-layout to generate a first optimized sub-layout and a second optimized sub-layout. The method further includes simulating the first optimized sub-layout and the second optimized sub-layout and of identifying one or more print-out defects in the simulated first optimized sub-layout and the simulated second optimized sub-layout. By comparing the simulated first optimized sub-layout and the simulated second optimized sub-layout it may be determined whether or not print-out defects in the simulated second optimized sub-layout are covered by the first desired sub-layout such that the first optimized sub-layout may be used to pattern material layers.
    Type: Grant
    Filed: September 26, 2013
    Date of Patent: October 13, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Shih-Ming Chang
  • Patent number: 9141746
    Abstract: A system and method for enabling the display and movement of a boundary box of an instance master inclusive of specific predetermined geometric figures, including master pins, master halo and master boundary edges, is provided. The system and method provides for improved utilization of computer resources and enables users of the present invention to be able to drag and use instance master in their designs more efficiently and rapidly.
    Type: Grant
    Filed: March 31, 2014
    Date of Patent: September 22, 2015
    Assignee: CADENCE DESIGN SYSTEMS, INC.
    Inventors: Arnold Ginetti, Jean-Noel Pic, Stephane Berger
  • Patent number: 9136092
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) method. The method includes receiving an IC design layout having a main feature; performing an optical proximity correction (OPC) process to the design layout; and thereafter, performing a jog reduction process to the design layout such that jog features of the design layout are reduced.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: September 15, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Ta Lu, Jia-Guei Jou, Yi-Hsien Chen, Peng-Ren Chen, Dong-Hsu Cheng
  • Patent number: 9122160
    Abstract: An approach is provided for enabling simulation of photomask contour shapes, performing verification on the simulated photomask shapes, and correcting errors in OPC correction or bad fracturing methods to perform photomask proximity correction in real time before physically writing of the photomask. Embodiments include performing optical proximity correction of a photomask of a semiconductor layout to generate a corrected photomask, simulating the corrected photomask to generate one or more simulated contour shapes within a simulated photomask, verifying the simulated contour shapes to determine errors associated with the simulated photomask, and correcting the errors in the simulated contour shapes of the simulated photomask to generate a final photomask.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: September 1, 2015
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Gek Soon Chua, Yi Zou, Wei-Long Wang, Byoung Il Choi
  • Patent number: 9112000
    Abstract: A method of generating an integrated circuit with a double patterning technology (DPT) compatible via pattern using a reduced DPT compatible via design rule set. A reduced DPT compatible via design rule set. A method of forming an integrated circuit using a via pattern generated from a reduced DPT compatible design rule set.
    Type: Grant
    Filed: September 19, 2012
    Date of Patent: August 18, 2015
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventor: James Walter Blatchford
  • Patent number: 9098649
    Abstract: An dual function distance metric for pattern matching based hotspot clustering is described. The dual function distance metric can handle patterns containing multiple polygons, is easy to compute, and is tolerant of small variations or shifts of the shapes. Compared with an XOR distance metric pattern clustering, the dual function distance metric can achieve up to 37.5% accuracy improvement with 2×-4× computational cost in the context of cluster analysis. The dual function distance metric is reliable and accurate for characterizing clips (e.g. hotspots), thereby making it desirable for industry applications.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: August 4, 2015
    Assignee: Synopsys, Inc.
    Inventors: Charles C. Chiang, Jing Guo, Fan Yang, Subarnarekha Sinha, Xuan Zeng
  • Patent number: 9091946
    Abstract: A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: July 28, 2015
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Ingo Bork, Etienne Jacques
  • Patent number: 9064078
    Abstract: A method of designing an optical photomask includes providing a target pattern, correcting the target pattern with an OPC model, adjusting the target pattern and/or the OPC model, and correcting a first corrected pattern. The target pattern indicates a target shape of a pre-pattern opening in a photoresist layer on a semiconductor substrate. Correcting the target pattern includes using an optical proximity correction (OPC) model to generate OPC output information that includes edge placement error (EPE) information, a first corrected pattern, and/or a simulated contour of the pre-pattern opening. Adjusting the target pattern and/or the OPC model includes adjusting with OPC based adjustments that are based on the OPC output information. Correcting the first corrected pattern includes using the OPC model in response to the OPC based adjustments to generate a second corrected pattern.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: June 23, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Piyush Verma, Todd P. Lukanc
  • Patent number: 9058462
    Abstract: A system and method of producing an integrated circuit using abutted cells having shared polycrystalline silicon on an oxide definition region edge (PODE) includes modeling inter-cell leakage current in a plurality of different cells. Each of the plurality of different cells is abutted with another cell and having the shared PODE. The method also comprises verifying a pre-determined acceptable power consumption of the integrated circuit based on the inter-cell leakage current.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: June 16, 2015
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: King-Ho Tam, Yeh-Chi Chang, Kuo-Nan Yang, Zhe-Wei Jiang, Chung-Hsing Wang
  • Publication number: 20150149969
    Abstract: The present disclosure relates to a method and apparatus to create a physical layout for electron-beam lithography, comprising defining a layout grid for a physical design, the layout grid further comprising vertical grid lines which coincide with stitching lines resulting from partitioning the physical design into a plurality of subfields. The physical design is assembled in accordance with design restrictions regarding interaction between design shapes and the layout grid. In some embodiments, the design restrictions are realized though layout restrictions. In some embodiments, the design restrictions are realized by shifting standard cells to minimize design shape interaction with the layout grid in a post-layout step. In some embodiments, the design restrictions are realized by exchanging positions between a plurality of standard cells for an exchange permutation which minimizes the number of interactions in a post-layout step.
    Type: Application
    Filed: February 2, 2015
    Publication date: May 28, 2015
    Inventors: Hung-Chun Wang, Shao-Yun Fang, Tzu-Chin Lin, Wen-Chun Huang, Ru-Gun Liu
  • Publication number: 20150140478
    Abstract: Provided is an integrated circuit (IC) testline layout. The layout has a device boundary and a main pattern boundary inside the device boundary. The layout includes at least one main pattern inside the main pattern boundary. The layout further includes a plurality of dummy patterns in a region that is between the main pattern boundary and the device boundary. The plurality of dummy patterns is printable in a photolithography process and is arranged in a ring with a uniform spacing between two adjacent dummy patterns.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 21, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Fan Chen, Tung-Heng Hsieh, Chin-Shuan Hou, Yu-Bey Wu
  • Patent number: 9038003
    Abstract: A method for mask data preparation or mask process correction is disclosed in which a set of charged particle beam shots is determined which is capable of forming a pattern on a surface, wherein critical dimension uniformity (CDU) of the pattern is optimized. In some embodiments the CDU is optimized by varying at least two factors. In other embodiments, model-based techniques are used. In yet other embodiments, the surface is a reticle to be used in an optical lithographic process to form a pattern on a wafer, and CDU on the wafer is optimized.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: May 19, 2015
    Assignee: D2S, Inc.
    Inventors: Ryan Pearman, Robert C. Pack, Akira Fujimura
  • Patent number: 9032340
    Abstract: A layout decomposition method and a method for manufacturing a semiconductor device applying the same are provided. According to the layout decomposition method, a design layout is received by the logic processer of a computing system. A design rule for layout decomposition is then identified by the logic processer, including identifying the loose areas (areas with loosely distributed features) and dense areas (areas with densely distributed features) on a substrate, and identifying first areas with odd-numbered features and second areas with even-numbered features on the substrate. Next, a first mask with a first pattern and a second mask with a second pattern are generated corresponding to results of design rule identification by the computing system.
    Type: Grant
    Filed: March 17, 2014
    Date of Patent: May 12, 2015
    Assignee: United Microelectronics Corp.
    Inventor: Yu-Cheng Tung
  • Patent number: 9032339
    Abstract: Verification-result ranking techniques for root cause analysis are disclosed using violation report analysis and violation weighting. Violation reports are unwieldy and result from a variety of design and process checks. The check coverage can overlap, causing a specific violation to trigger multiple reported violations. High turn around times for violation report analysis increase the risk that selective violation analysis will inadvertently suppress real design bugs. This reduces the odds that static checker reports alone will meet design sign-off criteria. Determining relationships among a plurality of violations for a design permits clustering violations into hot spots. Identification of primary and subsequent contributors to the plurality of violations is based on the relationships among violations. The hot spot with the highest weight is identified, and then subsequent violations are identified to maximize violation coverage.
    Type: Grant
    Filed: March 6, 2013
    Date of Patent: May 12, 2015
    Assignee: Synopsys, Inc.
    Inventors: Kaushik De, Kevin M. Harer, Rajarshi Mukherjee, Mahantesh Narwade
  • Patent number: 9032346
    Abstract: Methods are provided to facilitate automated creation and management of design rule checking or DRC waiver descriptions. Embodiments include receiving a plurality of first checksums corresponding to respective first geometric element violations waived in association with a block of an integrated circuit design, the first checksums being based on a first version of at least one design verification rule and/or of the block, receiving a second checksum corresponding to a second geometric element violation associated with the block, the second checksum being based on a second version of the design verification rule and/or of the block, determining whether the second checksum corresponds to at least one of the first checksums, and, if the second checksum does not correspond to at least one first checksum, generating a waiver request for the second geometric element error.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: May 12, 2015
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Raymond A. Filippi, Paul Soh, Hui May Tan
  • Patent number: 9032342
    Abstract: A method of patterning a plurality of layers of a work piece in a series of writing cycles in one or a plurality of write machines, the workpiece being deviced to have a number of N layers and layers of the workpiece having one or a plurality of boundary condition(s) for pattern position, the method comprising the steps of: determining the boundary conditions of layers 1 to N, calculating deviations due to the boundary conditions and calculating a compensation for the deviation of the first transformation added with the assigned part of the deviation due to the boundary conditions.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: May 12, 2015
    Assignee: Mycronic AB
    Inventors: Mikael Wahlsten, Per-Erik Gustafsson
  • Patent number: 9032348
    Abstract: This disclosure relates generally to systems and methods for simulating physical active semiconductor components using in silico active semiconductor components. To simulate charge degradation effect(s) in a circuit simulation, a simulated defect signal level is produced. More specifically, the simulated defect signal level simulates at least one charge degradation effect in the in silico active semiconductor component as a function of simulation time and a simulated input signal level of a simulated input signal. As such, the charge degradation effect(s) are simulated externally with respect to the in silico active semiconductor component. In this manner, the in silico active semiconductor component does not need to be reprogrammed in order to simulate charge degradation effects.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: May 12, 2015
    Assignees: Arizona Board of Regents on behalf of Arizona State University, University of Southern California
    Inventors: Hugh James Barnaby, Ivan Sanchez Esqueda
  • Publication number: 20150128098
    Abstract: A method of lithographic defect detection and repair is disclosed. In an exemplary embodiment, the method of patterning a workpiece comprises receiving a mask for patterning a workpiece. The mask is inspected for defects, and a mask defect is identified that is repairable in the workpiece. The workpiece is lithographically exposed using the mask, and a defect is repaired within the workpiece based on the identified mask defect. The method may further comprise comparing defects across the workpiece to determine repeating defects and determining a spacing between repeating defects. A distance between a first focal point and a second focal point of a lithographic system may be configured to correspond to the spacing between repeating defects. Thus, a first repeating defect and a second repeating defect may be repaired concurrently.
    Type: Application
    Filed: November 4, 2013
    Publication date: May 7, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Ming Chang, Chih-Ming Lai, Hung-Chang Hsieh
  • Patent number: 9026953
    Abstract: A method comprises (a) providing an integrated circuit (IC) layout comprising data representing a plurality of circuit patterns to be formed on or in a single layer of an IC by multi-patterning; (b) dividing the plurality of circuit patterns into two or more groups; (c) assigning the circuit patterns within each group to a respective mask to provide mask assignment data, for forming each group of circuit patterns on or in the single layer of the IC; (d) compressing the mask assignment data; and (e) storing the compressed mask assignment data to a non-transitory machine readable storage medium for use by an electronic design automation tool configured for reconstructing the mask assignment data from the compressed data.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: May 5, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Yu Chen, Chin-Hsiung Hsu, Wen-Hao Chen, Chung-Hsing Wang
  • Patent number: 9026958
    Abstract: Computer-implemented method, system and computer program product for double patterning technology (DPT) odd loops visualization within an integrated circuit design layout are disclosed. The method, system and computer program product comprise mapping all violations of the integrated circuit design layout to a graph. The method, system and computer programming product also includes partitioning the graph into a plurality of sub-graphs. Each of the plurality of sub-graphs includes multiple edges and multiple nodes. The method, system and computer product further include detecting all possible odd loops in each of the plurality of sub-graphs; and visualizing all of the odd loops in at least one of the plurality of sub-graphs.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: May 5, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sanjib Ghosh, Harindranath Parameswaran, Henry Yu
  • Patent number: 9026955
    Abstract: The present disclosure relates to a method of integrated chip (IC) design pattern correction that reduces pattern correction cycle time by separately correcting main feature shapes and dummy shapes of the IC design, and an associated apparatus. In some embodiments, the method is performed by forming an IC design having a plurality of main feature shapes. A plurality of dummy shapes are added to the IC design to improve a process window of the IC design. The plurality of main feature shapes are corrected using a first pattern correction process. One or more of the plurality of dummy shapes are subsequently corrected using a second pattern correction process separate from the first pattern correction process. By separately correcting dummy shapes and main feature shapes, the dummy shapes can be subjected to a different pattern correction process having lower time/resource demands, thereby reducing the pattern correction cycle time.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: May 5, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Chun Wang, Ming-Hui Chih, Ping-Chieh Wu, Chun-Hung Wu, Feng-Ju Chang, Cheng-Kun Tsai, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 9026954
    Abstract: A design or lithographic enhancement process, a method for forming a device based on the lithographic enhancement process and a system for pattern enhancement are presented. The process includes processing a design data file. The design data file includes information of design layers in an integrated circuit (IC). Processing the design data file includes analyzing the design data file and patterns in the design data file are enhanced taken into consideration topography information of design layers corresponding to masks of the IC.
    Type: Grant
    Filed: January 17, 2014
    Date of Patent: May 5, 2015
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Valerio Barnedo Perez, Ushasree Katakamsetty, Wee Kwong Yeo
  • Publication number: 20150121317
    Abstract: A non-transitory, computer readable storage medium is encoded with computer program instructions, such that, when the computer program instructions are executed by a computer, the computer performs a method. The method generates mask assignment information for forming a plurality of patterns on a layer of an integrated circuit (IC) by multipatterning. The mask assignment information includes, for each of the plurality of patterns, a mask assignment identifying which of a plurality of masks is to be used to form that pattern, and a mask assignment lock state for that pattern. User inputs setting the mask assignment of at least one of the plurality of patterns, and its mask assignment lock state are received. A new mask assignment is generated for each of the plurality of patterns having an “unlocked” mask assignment lock state.
    Type: Application
    Filed: May 14, 2014
    Publication date: April 30, 2015
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hui Yu LEE, Chi-Wen CHANG, Chih Ming YANG, Ya Yun LIU, Yi-Kan CHENG