Optical Proximity Correction (including Ret) Patents (Class 716/53)
  • Patent number: 8584052
    Abstract: A system and method for providing a cell layout for multiple patterning technology is provided. An area to be patterned is divided into alternating sites corresponding to the various masks. During a layout process, sites located along a boundary of a cell are limited to having patterns in the mask associated with the boundary site. When placed, the individual cells are arranged such that the adjoining cells alternate the sites allocated to the various masks. In this manner, the designer knows when designing each individual cell that the mask pattern for one cell will be too close to the mask pattern for an adjoining cell.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: November 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Ken-Hsien Hsieh, Ru-Gun Liu, Lee-Chung Lu
  • Patent number: 8584060
    Abstract: A method for decomposing design shapes in a design level into a plurality of target design levels is provided. Design shapes including first-type edges and second-type edges having different directions is provided for a design level. Inner vertices are identified and paired up. Vertices are classified into first-type vertices and second-type vertices. First mask level shapes are generated so as to touch the first-type vertices, and second mask level shapes are generated so as to tough the second-type vertices. Cut mask level shapes are generated to touch each first-type edges that are not over a second-type edge and to touch each second-type edges that are not over a first-type edge. Suitable edges are sized outward to ensure overlap among the various shapes. The design shapes are thus decomposed into first mask level shapes, the second mask level shapes, and the cut mask level shapes.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: November 12, 2013
    Assignee: International Business Machines Corporation
    Inventors: William Brearley, Geng Han, Lars W. Liebmann
  • Patent number: 8584053
    Abstract: A method for designing a mask set including at least one mask includes the implementation of at least one design rule from a set of design rules. The design rules include rules relating to allowable spacing between adjacent features, overlap of features defined by different masks in the mask set, and other characteristics of the mask set.
    Type: Grant
    Filed: June 22, 2011
    Date of Patent: November 12, 2013
    Assignee: Texas Intruments Incorporated
    Inventor: James Walter Blatchford
  • Patent number: 8584056
    Abstract: The present invention relates to lithographic apparatuses and processes, and more particularly to tools for optimizing illumination sources and masks for use in lithographic apparatuses and processes. According to certain aspects, the present invention significantly speeds up the convergence of the optimization by allowing direct computation of gradient of the cost function. According to other aspects, the present invention allows for simultaneous optimization of both source and mask, thereby significantly speeding the overall convergence. According to still further aspects, the present invention allows for free-form optimization, without the constraints required by conventional optimization techniques.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: November 12, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Luoqi Chen, Jun Ye, Yu Cao
  • Patent number: 8584057
    Abstract: A method of data preparation in lithography processes is described. The method includes providing an integrated circuit (IC) layout design in a graphic database system (GDS) grid, converting the IC layout design GDS grid to a first exposure grid, applying a non-directional dither technique to the first exposure, coincident with applying dithering to the first expose grid, applying a grid shift to the first exposure grid to generate a grid-shifted exposure grid and applying a dither to the grid-shifted exposure grid, and adding the first exposure grid (after receiving dithering) to the grid-shifted exposure grid (after receiving dithering) to generate a second exposure grid.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: November 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Copmany, Ltd.
    Inventors: Pei-Yi Liu, Shy-Jay Lin, Wen-Chuan Wang, Jaw-Jung Shin, Burn Jeng Lin
  • Publication number: 20130295769
    Abstract: Integrated circuit methods are described. The methods include providing a photomask that includes two main features for two via openings and further includes an optical proximity correction (OPC) feature linking the two main features; forming a hard mask layer on a substrate, the hard mask layer including two trench openings; forming a patterned resist layer over the hard mask layer using the photomask, wherein the patterned resist layer includes a peanut-shaped opening with two end portion aligned with the two trench openings of the hard mask layer, respectively; and performing a first etch process to the substrate using the hard mask layer and the patterned resist layer as a combined etch mask.
    Type: Application
    Filed: May 7, 2012
    Publication date: November 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Yi Lin, Jiing-Feng Yang, Tzu-Hao Huang, Chih-Hao Hsieh, Dian-Hau Chen, Hsiang-Lin Chen, Ko-Bin Kao, Yung-Shih Cheng
  • Publication number: 20130295698
    Abstract: A photo mask having a first set of patterns and a second set of patterns is provided in which the first set of patterns correspond to a circuit pattern to be fabricated on a wafer, and the second set of patterns have dimensions such that the second set of patterns do not contribute to the circuit pattern that is produced using a lithography process based on the first set of patterns under a first exposure condition. The critical dimension distribution of the photo mask is determined based on the second set of patterns that do not contribute to the circuit pattern produced using the lithography process based on the first set of patterns under the first exposure condition.
    Type: Application
    Filed: July 25, 2011
    Publication date: November 7, 2013
    Inventors: Rainer Pforr, Guy Ben-Zvi, Vladimir Dmitriev, Erez Graitzer
  • Patent number: 8578303
    Abstract: A method for compensating an effect of a patterning process is illustrated. The main concept of the method for compensating the effect of the patterning process is to add or subtract the correction amounts for all segments according to the set of the comparison values at the set of the evaluation points. Compared with the delta-chrome optical proximity correction method, the run time of the method for compensating the effect of the patterning process is reduced, the memory usage of the method for compensating the effect of the patterning process not increased, and the correction accuracy of the method for compensating the effect of the patterning process is not reduced.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: November 5, 2013
    Assignee: National Taiwan University
    Inventors: Kuen-Yu Tsai, Chooi-Wan Ng, Yi-Sheng Su
  • Publication number: 20130290913
    Abstract: In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed.
    Type: Application
    Filed: June 21, 2013
    Publication date: October 31, 2013
    Inventor: Akira Fujimura
  • Publication number: 20130285194
    Abstract: The present disclosure provides an integrated circuit design method. In an example, a method includes receiving an integrated circuit design layout that includes an active region feature, a contact feature, and an isolation feature, wherein a portion of the active region feature is disposed between the contact feature and the isolation feature; determining whether a thickness of the portion of the active region feature disposed between the contact feature and the isolation feature is less than a threshold value; and modifying the integrated circuit design layout if the thickness is less than the threshold value, wherein the modifying includes adding a supplementary active region feature adjacent to the portion of the active region feature disposed between the contact feature and the isolation feature.
    Type: Application
    Filed: June 25, 2013
    Publication date: October 31, 2013
    Inventors: Mei-Hsuan Lin, Chih-Chan Lu, Chih-Hsun Lin, Chih-Kang Chao, Ling-Sung Wang, Jen-Pan Wang
  • Publication number: 20130288164
    Abstract: The present invention provides a pattern correction method of, when a plurality of pattern elements on a mask used to process a line pattern formed on a substrate are transferred to the substrate, performing proximity effect correction of each pattern element such that a transferred image obtains a dimension equal to a target dimension, comprising setting, based on a density of a pattern element in a peripheral region surrounding a pattern element of interest, a dimension of the pattern element whose transferred image formed under the density of the pattern element has a dimension equal to the target dimension as a reference value for the pattern element of interest, and calculating a dimension of transferred image of the pattern element of interest while changing around the reference value and determining the dimension of the pattern element of interest based on the calculation result.
    Type: Application
    Filed: April 2, 2013
    Publication date: October 31, 2013
    Applicant: CANON KABUSHKI KAISHA
    Inventor: Ryo NAKAYAMA
  • Patent number: 8572522
    Abstract: A method and system are described for determining lithographic processing conditions for a lithographic process. After obtaining input, a first optimization is made for illumination source and mask design under conditions of allowing non-rectangular sub-resolution assist features. Thereafter, mask design is optimized in one or more further optimizations for which only rectangular sub-resolution assist features are allowed. The latter results in good lithographic processing while limiting the complexity of the mask design.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 29, 2013
    Assignees: IMEC, Sony Corporation, ASML Netherlands BV
    Inventors: Kazuya Iwase, Peter De Bisschop
  • Patent number: 8572524
    Abstract: An optical proximity correction (OPC) model incorporates inline process variation data. OPC is performed by adjusting an input mask pattern with a mask bias derived from the OPC model to correct errors in the input mask pattern.
    Type: Grant
    Filed: November 21, 2007
    Date of Patent: October 29, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Wenzhan Zhou, Liang Choo Hsia, Meisheng Zhou, Zheng Zou
  • Patent number: 8572523
    Abstract: A method for performing leakage analysis includes receiving information specifying an integrated circuit. A neighborhood of shapes associated with the integrated circuit is then determined. Leakage information associated with the integrated circuit is generated based on the neighborhood of shapes. The neighborhood of shapes may be determined by determining a first set of spacings to a boundary of a first cell from an internal shape. A second set of spacings may be determined from the boundary of the first cell to a shape of a second cell. A lithography process may be characterized using the first and second set of spacings.
    Type: Grant
    Filed: July 20, 2007
    Date of Patent: October 29, 2013
    Assignee: Synopsys, Inc.
    Inventors: Emre Tuncer, Hui Zheng, Vivek Raghavan, Anirudh Devgan, Amir Ajami, Alessandra Nardi, Tao Lin, Pramod Thazhathethil, Alfred Wong
  • Patent number: 8572518
    Abstract: A method for predicting pattern critical dimensions in a lithographic exposure process includes defining relationships between critical dimension, defocus, and dose. The method also includes performing at least one exposure run in creating a pattern on a wafer. The method also includes creating a dose map. The method also includes creating a defocus map. The method also includes predicting pattern critical dimensions based on the relationships, the dose map, and the defocus map.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: October 29, 2013
    Assignee: Nikon Precision Inc.
    Inventors: Jacek K. Tyminski, Raluca Popescu
  • Patent number: 8572517
    Abstract: The present invention provides a method for compensating infidelities of a process that transfers a pattern to a layer of an integrated circuit, by minimizing, with respect to a photomask pattern, a cost function that quantifies the deviation between designed and simulated values of circuit parameters of the pattern formed on a semiconductor wafer.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: October 29, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dipankar Pramanik, Michiel Victor Paul Kruger, Roy V. Prasad, Abdurrahman Sezginer
  • Patent number: 8572519
    Abstract: Embodiments of the present disclosure provide methods and apparatuses for integrated circuits. An exemplary integrated circuit (IC) method includes providing an IC design layout that includes a design feature; determining a dimensional difference between the design feature and a corresponding developed photoresist feature of a photoresist layer; modifying the CD of the design feature to compensate for the difference, thereby generating a modified IC design layout; and making a mask using the modified IC design layout.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: October 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen Hao Liu, Hsien-Huang Liao, Chi-Cheng Hung, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8572520
    Abstract: Integrated circuit (IC) methods for optical proximity correction (OPC) modeling and mask repair are described. The methods include use of an optical model that generates a simulated aerial image from an actual aerial image obtained in an optical microscope system. In the OPC modeling methods, OPC according to stage modeling is simulated, and OPC features may be added to a design layout according to the simulating OPC. In the mask repair methods, inverse image rendering is performed on the actual aerial image and diffraction image by applying an optical model that divides an incoherent exposure source into a plurality of coherent sources.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: October 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Shiang Chou, Ya-Ting Chang, Fu-Sheng Chu, Yu-Po Tang
  • Publication number: 20130283217
    Abstract: A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots. A method for optical proximity correction (OPC) or MDP is also disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern. A method for OPC is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 24, 2013
    Applicant: D2S, Inc.
    Inventors: Akira Fujimura, Anatoly Aadamov, Eldar Khaliullin, Ingo Bork
  • Publication number: 20130283219
    Abstract: A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots. A method for optical proximity correction (OPC) or MDP is also disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern. A method for OPC is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 24, 2013
    Applicant: D2S, Inc.
    Inventors: Akira Fujimura, Anatoly Aadamov, Eldar Khaliullin, Ingo Bork
  • Publication number: 20130283218
    Abstract: A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots. A method for optical proximity correction (OPC) or MDP is also disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern. A method for OPC is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 24, 2013
    Applicant: D2S, Inc.
    Inventors: Akira Fujimura, Anatoly Aadamov, Eldar Khaliullin, Ingo Bork
  • Patent number: 8566754
    Abstract: One embodiment of the present invention provides a system that automatically processes manufacturing hotspot information. During operation, the system receives a pattern clip associated with a manufacturing hotspot in a layout, wherein the pattern clip comprises a set of polygons in proximity to the manufacturing hotspot's location. Next, the system determines if the pattern clip matches a known manufacturing hotspot configuration. If the pattern clip does not match a known manufacturing hotspot configuration, the system then performs a perturbation process on the pattern clip to determine a set of correction recommendations to eliminate the manufacturing hotspot. By performing the perturbation process, the system additionally determines ranges of perturbation to the set of polygons wherein the perturbed pattern clip does not eliminate the manufacturing hotspot. Subsequently, the system stores the set of correction recommendations and the ranges of perturbation into a manufacturing hotspot database.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: October 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Kent Y. Kwang, Daniel Zhang, Zongwu Tang, Subarnarekha Sinha
  • Patent number: 8563200
    Abstract: A manufacturing method of a photomask by which a resist pattern corresponding to a pattern with designed values can be formed, a method for optical proximity correction, and a manufacturing method of a semiconductor device are provided. Proximity design features that are close to each other and estimated to violate a mask rule check are extracted. In the proximity design features, correction prohibited regions where optical proximity correction is not carried out are set based on the distance between the features obtained from the extracted proximity design features and the resolution of an exposure device. Optical proximity correction is carried out on the proximity design features with the correction prohibited regions excluded to obtain corrected proximity patterns. A predetermined mask material is patterned by carrying out electron beam lithography based on the corrected proximity pattern data.
    Type: Grant
    Filed: January 6, 2012
    Date of Patent: October 22, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Ayumi Minamide, Akemi Moniwa, Akira Imai
  • Patent number: 8566757
    Abstract: A method for defining a full phase layout for defining a layer of material in an integrated circuit is described. The method can be used to define, arrange, and refine phase shifters to substantially define the layer using phase shifting. Through the process, computer readable definitions of an alternating aperture, dark field phase shift mask and of a complimentary mask are generated. Masks can be made from the definitions and then used to fabricate a layer of material in an integrated circuit. The separations between phase shifters, or cuts, are designed for easy mask manufacturability while also maximizing the amount of each feature defined by the phase shifting mask. Cost functions are used to describe the relative quality of phase assignments and to select higher quality phase assignments and reduce phase conflicts.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: October 22, 2013
    Assignee: Synopsys, Inc.
    Inventors: Michel L. Cote, Christophe Pierrat
  • Patent number: 8563224
    Abstract: The present disclosure provides a dithering method of increasing wafer throughput by an electron beam lithography system. The dithering method generates an edge map from a vertex map. The vertex map is generated from an integrated circuit design layout (such as an original pattern bitmap). A gray map (also referred to as a pattern gray map) is also generated from the integrated circuit design layout. By combining the edge map with the gray map, a modified integrated circuit design layout (modified pattern bitmap) is generated for use by the electron beam lithography system.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: October 22, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hung Chen, Pei-Shiang Chen, Shih-Chi Wang, Jeng-Horng Chen
  • Patent number: 8566756
    Abstract: In a first process, a process A, an actually measured transfer position measured by a measurement/inspection instrument is indicated by a black circle. A targeted transfer position indicated by x in a process B is located at the same position as the black circle. Assuming that the weights in the subsequent processes are the same, a targeted transfer position Xtarget indicated by x in processes C, D and E is located at a moderate position with which the total deviation from an actual transfer position (black circle) measured by the measurement/inspection instrument in a process preceding the current process is minimized, that is, at a proper position with respect to a plurality of other processes. Accordingly, the productivity of devices can be improved.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: October 22, 2013
    Assignee: Nikon Corporation
    Inventor: Shinichi Okita
  • Patent number: 8563197
    Abstract: Design rules for circuit patterns of a semiconductor device are identified, and schematic layouts of the circuit patterns are generated according to the design rules. Lithography friendly layout (LFL) circuit patterns are generated from the schematic layouts. Target layout circuit patterns are generated from the LFL circuit patterns. Optical proximity effect correction (OPC) is performed on the target layout circuit patterns to generate OPC circuit patterns. A mask is fabricated from the OPC circuit patterns, and may be used fabricate a semiconductor device.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-soo Suh, Suk-joo Lee, Yong-hee Park, Mi-kyeong Lee
  • Patent number: 8566755
    Abstract: A method of predicting photoresist patterns defined by a plurality of photomask patterns is described. The measurement data of photoresist patterns defined by patterns on a photomask that are arranged similar to the photomask patterns are provided. A physical optical kernel and a mathematical load kernel as a part of a Gaussian distribution function or other distribution function or as a combined function including a part of a Gaussian distribution function or other distribution function are provided. The optimal values of the parameters of the mathematical load kernel are determined by fitting the experiment data with a simulation based on the graphic data of the patterns on the photomask and the kernels. Photoresist patterns defined by the photomask patterns are simulated based on the graphic data of the photomask patterns, the physical optical kernel, and the mathematical load kernel with the optimal values of the parameters determined.
    Type: Grant
    Filed: November 26, 2007
    Date of Patent: October 22, 2013
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Shih-Lung Tsai
  • Patent number: 8566753
    Abstract: A method of performing a resolution enhancement technique such as OPC on an initial layout description involves fragmenting a polygon that represents a feature to be created into a number of edge fragments. One or more of the edge fragments is assigned an initial simulation site at which the image intensity is calculated. Upon calculation of the image intensity, the position and/or number of initial simulation sites is varied. New calculations are made of the image intensity with the revised placement or number of simulation sites in order to calculate an OPC correction for the edge fragment. In other embodiments, fragmentation of a polygon is adjusted based on the image intensities calculated at the simulation sites. In one embodiment, the image intensity gradient vector calculated at the initial simulation sites is used to adjust the simulation sites and/or fragmentation of the polygon.
    Type: Grant
    Filed: December 17, 2010
    Date of Patent: October 22, 2013
    Assignee: Mentor Graphics Corporation
    Inventors: James Word, Nicolas B. Cobb, Patrick J. LaCour
  • Publication number: 20130275925
    Abstract: The present disclosure provides one embodiment of an integrated circuit (IC) method. The method includes receiving an IC design layout having a plurality of IC regions each including an IC pattern; performing a dissection process to the IC design layout; and performing a correction process to the IC design layout using a correction model that includes proximity effect and location effect. The correction process includes performing a first correction step to a first IC region of the IC regions, resulting in a first corrected IC pattern in the first IC region; and performing a second correction step to a second IC region of the IC regions, starting with the first corrected IC pattern, resulting in a second corrected IC pattern.
    Type: Application
    Filed: April 16, 2012
    Publication date: October 17, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chun Wang, Ming-Hui Chih, Cheng Kun Tsai, Wen-Chun Huang, Ru-Gun Liu
  • Publication number: 20130275927
    Abstract: A method includes determining model parameters for forming an integrated circuit, and generating a techfile using the model parameters. The techfile includes at least two of a C_worst table, a C_best table, and a C_nominal table. The C_worst table stores greatest parasitic capacitances between layout patterns of the integrated circuit when lithography masks comprising the layout patterns shift relative to each other. The C_best table stores smallest parasitic capacitances between the layout patterns when the lithography masks shift relative to each other. The C_nominal table stores nominal parasitic capacitances between the layout patterns when the lithography masks do not shift relative to each other. The techfile is embodied on a tangible non-transitory storage medium.
    Type: Application
    Filed: May 23, 2012
    Publication date: October 17, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ke-Ying Su, Hsiao-Shu Chao, Yi-Kan Cheng
  • Publication number: 20130275928
    Abstract: A method for correcting layout pattern and a mask having the corrected layout pattern thereon are provided. In an exemplary method, a first layout pattern including a plurality of first hole patterns can be provided to form an auxiliary pattern in each first hole pattern and to obtain a second layout pattern. The auxiliary pattern can have a dimension smaller than an exposure resolution in a photolithography process. The second layout pattern can then be processed by an optical proximity correction (OPC) to obtain a first modified layout pattern. The first modified layout pattern can include a plurality of modified first hole patterns modified by the OPC. The first modified layout pattern can be simulated to obtain an actual layout pattern such that the actual layout pattern and the first layout pattern have an edge placement error (EPE) within a predetermined range.
    Type: Application
    Filed: March 18, 2013
    Publication date: October 17, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL CORP.
    Inventor: JASMINE ZHANG
  • Publication number: 20130275926
    Abstract: A method for performing OPC and evaluating OPC solutions is disclosed. An exemplary method includes receiving a design database corresponding to an IC circuit mask. A first lithography simulation and evaluation is performed on the design database utilizing a first set of performance indexes. A modification is made to the design database based on a result of performing the first lithography simulation and evaluation. A second lithography simulation and evaluation is performed on the design database utilizing a second set of performance indexes to verify the modification. If necessary, the design database is modified again based on a result of the second lithography simulation and evaluation. The modified design database is provided to a mask manufacturer for manufacturing the mask corresponding to the modified design database.
    Type: Application
    Filed: April 17, 2012
    Publication date: October 17, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hung-Chun Wang, Ming-Hui Chih, Yu-Po Tang, Chia-Ping Chiang, Feng-Ju Chang, Cheng Kun Tsai, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 8560992
    Abstract: A method is provided for inspecting a chip layout. The method includes providing a chip layout having a plurality of patterns designed according to a design rule and performing a first inspection to the plurality of patterns according to the design rule. The method also includes determining patterns violating the design rule, as violating patterns, and corresponding violation values, and determining violating patterns having a minimum violation value among the violating patterns. Further, the method includes classifying the violating patterns having the minimum violation value into at least one sub-category based on characteristics of the violating patterns having the minimum violation value, and performing a second inspection on a selected violating pattern from the sub-category to determine whether the selected violating pattern and other violating patterns in the sub-category satisfy fabrication process conditions.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: October 15, 2013
    Assignee: Semiconductor Manufacturing International Corp.
    Inventors: Jingheng Wei, Zheqiu Liu
  • Patent number: 8560978
    Abstract: Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: October 15, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye
  • Patent number: 8560979
    Abstract: A multivariable solver for proximity correction uses a Jacobian matrix to approximate effects of perturbations of segment locations in successive iterations of a design loop. The problem is formulated as a constrained minimization problem with box, linear equality, and linear inequality constraints. To improve computational efficiency, non-local interactions are ignored, which results in a sparse Jacobian matrix.
    Type: Grant
    Filed: July 3, 2012
    Date of Patent: October 15, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: William S. Wong, Fei Liu, Been-Der Chen, Yen-Wen Lu
  • Publication number: 20130266893
    Abstract: A method for generating, via a computer, a mask pattern to be used for an exposure apparatus that exposes an image of the mask pattern on a substrate by irradiating a mask includes obtaining data of a main pattern to be formed on the substrate, and data of a pattern of a lower layer of a layer to which the main pattern is transferred, setting a generation condition for an auxiliary pattern with respect to the main pattern using data of the pattern of the lower layer, determining the auxiliary pattern using the generation condition, and generating data of the mask pattern including the main pattern and the determined auxiliary pattern.
    Type: Application
    Filed: March 15, 2013
    Publication date: October 10, 2013
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Yuichi Gyoda
  • Publication number: 20130268902
    Abstract: The present invention provides a decision method which decides a mask pattern used in an exposure apparatus comprising a projection optical system that projects a mask pattern including a main pattern and an auxiliary pattern onto a substrate, and an exposure condition in the exposure apparatus, the method including a step of calculating an image of a mask pattern formed on the substrate by the projection optical system while changing settings of the mask pattern and the exposure condition, and deciding the mask pattern and the exposure condition based on the image of the mask pattern, wherein the step includes determining whether or not to generate a new auxiliary pattern after the settings are changed.
    Type: Application
    Filed: March 15, 2013
    Publication date: October 10, 2013
    Applicant: Canon Kabushiki Kaisha
    Inventor: Tadashi Arai
  • Patent number: 8552405
    Abstract: A charged particle beam writing apparatus includes a unit to calculate a gradient of a convolution amount that is calculated from a convolution operation between an area density and a distribution function, a unit to calculate a small influence radius phenomenon dose correction coefficient that corrects for dimension variation due to a phenomenon whose influence radius is on an order of microns or less, by using the convolution amount and the gradient, a unit to calculate a proximity effect dose correction coefficient that corrects for dimension variation due to a proximity effect, by using a first function depending on the small influence radius phenomenon dose correction coefficient, a unit to calculate a dose by using the proximity effect dose correction coefficient and the small influence radius phenomenon dose correction coefficient, and a unit to write a figure pattern concerned on a target object, based on the dose.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: October 8, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Yasuo Kato, Jun Yashima
  • Patent number: 8555210
    Abstract: Systems and methods are disclosed for a stochastic model of mask process variability of a photolithography process, such as for semiconductor manufacturing. In one embodiment, a stochastic error model may be based on a probability distribution of mask process error. The stochastic error model may generate a plurality of mask layouts having stochastic errors, such as random and non-uniform variations of contacts. In other embodiments, the stochastic model may be applied to critical dimension uniformity (CDU) optimization or design rule (DR) sophistication.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: October 8, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Ming-Chuan Yang, Jung H. Woo
  • Patent number: 8555211
    Abstract: A method of making a mask includes receiving an IC design layout from a designer, applying an logic operation (LOP) correction, performing an OPC correction, fracturing the modified data into a plurality of main features in an electron beam format, and sending the electron beam format data to a mask writer for a mask fabrication. An XOR operation is implemented into the method to check and verify if a pattern is lost during OPC modification and/or data fracture. A BACKBONE XOR operation is also implemented into the method for a plurality of main features with a critical dimension (CD) size smaller than the max OPC correction to check and verify if a small pattern feature is lost during OPC modification and/or data fracture for 45 nm and beyond semiconductor technologies.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: October 8, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jia-Guei Jou, Kuan-Chi Chen, Peng-Ren Chen, Dong-Hsu Cheng
  • Patent number: 8555215
    Abstract: Methods for fabricating semiconductor devices are provided. In an embodiment, a method of fabricating a semiconductor device includes scanning a circuit design layout and proposing patterns for decomposed layouts. The proposed patterns are then compared with a library of prior patterns including a category of forbidden patterns and a category of preferred patterns. If a selected proposed pattern matches a forbidden pattern, the selected proposed pattern is eliminated. If the selected proposed pattern matches a preferred pattern, then the selected proposed pattern is identified for use in the decomposed layouts. Decomposed layouts are generated from the identified patterns. A plurality of masks is fabricated based on the decomposed layouts. Then a multiple patterning lithographic technique is performed with the plurality of masks on a semiconductor substrate.
    Type: Grant
    Filed: February 20, 2012
    Date of Patent: October 8, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Yi Zou, Swamy Maddu, Lynn T. Wang, Vito Dai, Luigi Capodieci, Peng Xie
  • Patent number: 8555209
    Abstract: A method for forming a circuit layout comprises performing process proximity effect modeling based on process proximity effects caused by a sub-layer, wherein the sub-layer comprises an active layer positioned under a gate poly, and wherein performing the process proximity effect modeling includes calculating a pattern density of the sub-layer, incorporating results of the process proximity effect modeling into a modeling algorithm, and performing proximity correction using the results to manipulate a layout of a mask to be used when forming the circuit layout by photolithography.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: No Young Chung
  • Publication number: 20130263062
    Abstract: A method of designing a pattern layout includes defining one shot area including a plurality of chip areas, generating an initial common layout in the plurality of chip areas, primarily correcting the initial layout to form a primary corrected layout, and secondarily correcting the primary corrected layout independently to form a plurality of secondary corrected layouts.
    Type: Application
    Filed: November 7, 2012
    Publication date: October 3, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Moon-Gyu JEONG
  • Publication number: 20130254723
    Abstract: A method of computational lithography includes providing through-focus critical dimension (CD) curves at a range of different focus values (Bossung curves) for a plurality of feature types that include different ratios of line width to space width. Using software run on a computing device, it is determined if there is at least one marginal feature type from the plurality of feature types based an image tool capability and a predetermined process specification affected by at least one of the plurality of feature types. Provided a marginal feature type is determined to be present, at least the marginal feature type(s) is upsized. A degree of upsizing increases as a curvature of the Bossung curves increases. A computational lithography model is compiled including the upsizing.
    Type: Application
    Filed: March 22, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventors: ASHESH PARIKH, CHI-CHIEN HO, THOMAS JOHN SMELKO
  • Publication number: 20130254725
    Abstract: A method of computational lithography includes collecting inline post-develop resist critical dimension (CD) data obtained from printing a test structure having resist on a substrate having a layer thereon using a mask including a set of gratings having main features and resolution assist features (RAFs) in proximity to the main features. The RAFs include a size range so that a lithography system used for the printing prints some of the RAFs, while some of the RAFs do not print. A plurality of resist kernels are determined from the post-develop resist CD data including a non-Gaussian developer etching kernel which represents a developer used for the printing and a Gaussian kernel. A resist model is generated which provides a resist image contour from an aerial image contour and the plurality of resist kernels.
    Type: Application
    Filed: March 22, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: ASHESH PARIKH
  • Publication number: 20130254726
    Abstract: A method includes receiving data representing a layout of a DPT-layer of an integrated circuit generated by a place and route tool. The layout includes a plurality of polygons to be formed in the DPT-layer by a multi-patterning process. First and second ones of the plurality of polygons to be formed using first and second photomasks, respectively are identified. Any intervening polygons along a first path connecting the first polygon to the second polygon, and separator regions between adjacent polygons along the first path are identified. The separator regions have sizes less than a minimum threshold distance between polygons formed on the first photomask. The separator regions are counted. A multi-patterning conflict is identified, if the count of separator regions is even, prior to assigning all remaining ones of the plurality of polygons to the first or second masks.
    Type: Application
    Filed: May 24, 2013
    Publication date: September 26, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Chang HSU, Ying-Yu SHEN, Wen-Ju YANG, Hsiao-Shu CHAO, Yi-Kan CHENG
  • Publication number: 20130252143
    Abstract: A method and system for fracturing or mask data preparation are presented in which overlapping shots are generated to increase dosage in selected portions of a pattern, thus improving the fidelity and/or the critical dimension variation of the transferred pattern. In various embodiments, the improvements may affect the ends of paths or lines, or square or nearly-square patterns. Simulation is used to determine the pattern that will be produced on the surface.
    Type: Application
    Filed: May 14, 2013
    Publication date: September 26, 2013
    Applicant: D2S, Inc.
    Inventors: Akira Fujimura, Kazuyuki Hagiwara, Stephen F. Meier, Ingo Bork
  • Publication number: 20130254724
    Abstract: A method of computational lithography includes collecting a critical dimension (CD) data set including CD data from printing a test structure including a set of gratings which provide a plurality of feature types including different ratios of line width to space width, where the printing includes a range of different focus values. The CD data is weighted to form a weighted CD data set using a weighting algorithm (WA) that assigns cost weights to the CD data based its feature type and its magnitude of CD variation with respect to a CD value for its feature type at a nominal focus (nominal CD). The WA algorithm reduces a value of the cost weight as the magnitude of variation increases. At least one imaging parameter is extracted from the weighted CD data set. A computational lithography model is automatically calibrated using the imaging parameter(s).
    Type: Application
    Filed: March 22, 2013
    Publication date: September 26, 2013
    Applicant: Texas Instruments Incorporated
    Inventor: ASHESH PARIKH
  • Patent number: 8543947
    Abstract: The present invention relates generally to selecting optimum patterns based on diffraction signature analysis, and more particularly to, using the optimum patterns for mask-optimization for lithographic imaging. A respective diffraction map is generated for each of a plurality of target patterns from an initial larger set of target patterns from the design layout. Diffraction signatures are identified from the various diffraction maps. The plurality of target patterns is grouped into various diffraction-signature groups, the target patterns in a specific diffraction-signature group having similar diffraction signature. A subset of target patterns is selected to cover all possible diffraction-signature groups, such that the subset of target patterns represents at least a part of the design layout for the lithographic process. The grouping of the plurality of target patterns may be governed by predefined rules based on similarity of diffraction signature.
    Type: Grant
    Filed: October 28, 2010
    Date of Patent: September 24, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Hua-Yu Liu, Luoqi Chen, Hong Chen, Zhi-Pan Li