Patents Represented by Attorney Janah & Associates
  • Patent number: 7846579
    Abstract: A battery comprises a substrate comprising an electrolyte between a pair of conductors, at least one conductor having a non-contact surface. A cap is spaced apart from the non-contact surface of the conductor by a gap having a gap distance dg of from about 1 ?m to about 120 ?m. The gap allows the conductor to expand into the gap. The gap is further bounded by side faces about a surrounding perimeter that may be sealed with a seal. In one version, the ratio of the surface area of the non-contact surfaces on the conductor to the total surface area of the side faces is greater than about 10:1. A pliable dielectric can also be provided in the gap.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: December 7, 2010
    Inventors: Victor Krasnov, Kai-Wei Nieh
  • Patent number: 7833401
    Abstract: A method of forming a component capable of being exposed to a plasma in a process chamber comprises forming a structure comprising a surface and electroplating yttrium, and optionally aluminum or zirconium, onto the surface. Thereafter, the electroplated layer can be annealed to oxide the yttrium and other electroplated species.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: November 16, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Nianci Han, Li Xu, Hong Shih, Yang Zhang, Danny Lu, Jennifer Y. Sun
  • Patent number: 7814905
    Abstract: A method for forming at least one opening in a receptacle comprises the steps of providing a receptacle having a cover with an exterior surface and an interior surface covering a cavity. A cutting mechanism is also provided having at least one blade. The cover is pierced with the blade, and the blade is moved through the cover to cut a portion of the cover and create an opening in the cover to provide access into the cavity. Further, the cut portion curls on top of the exterior surface as the opening is created.
    Type: Grant
    Filed: September 29, 2003
    Date of Patent: October 19, 2010
    Assignee: Novartis AG
    Inventors: Carlos Schuler, William A. Alston, Derrick Tuttle, Dennis Rasmussen, Stephen R. Demming
  • Patent number: 7816205
    Abstract: A flash memory device and method of forming a flash memory device are provided. The flash memory device includes a silicon nitride layer having a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness of the layer. The silicon nitride layer having a compositional gradient of silicon and nitrogen provides an increase in charge holding capacity and charge retention time of the unit cell of a non-volatile memory device.
    Type: Grant
    Filed: October 22, 2008
    Date of Patent: October 19, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mihaela Balseanu, Vladimir Zubkov, Li-Qun Xia, Atif Noori, Reza Arghavani, Derek R. Witty, Amir Al-Bayati
  • Patent number: 7813043
    Abstract: A lens assembly comprises a spacer plate having first and second surfaces, and an array of lens barrels that each comprise a lens opening extending inward from the first surface of the spacer plate and a sensor cavity extending inward from the second surface of the spacer plate. An alignment tool comprising an array of alignment holes or prongs is used to align the lens to the orifices of the lens openings.
    Type: Grant
    Filed: August 15, 2008
    Date of Patent: October 12, 2010
    Assignee: Ether Precision, Inc.
    Inventors: Jean-Pierre Lusinchi, Xiao-Yun Kui
  • Patent number: 7808651
    Abstract: An endpoint detection system for detecting an endpoint of a process comprises a polychromatic light source which emits polychromatic light. The light is reflected from a substrate. A light wavelength selector receives the reflected polychromatic light and determines a wavelength of light at which a local intensity of the reflected light is maximized during the process. In one version, the wavelength selector comprises a diffraction grating to generate a plurality of light beams having different wavelengths from the reflected polychromatic light and a light detector to receive the light beams having different wavelengths and generate an intensity signal trace of the intensity of each wavelength of the polychromatic reflected light.
    Type: Grant
    Filed: January 15, 2010
    Date of Patent: October 5, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lei Lian, Matthew F Davis
  • Patent number: 7800075
    Abstract: A multifunction module for an electron beam column comprises upper and lower electrodes, and a central ring electrode. The upper and lower electrodes have multipoles and are capable of deflecting, or correcting an aberration of, an electron beam passing through the electrodes. A voltage can be applied to the central ring electrode independently of the voltages applied to the upper and lower electrodes to focus the electron beam on a substrate.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: September 21, 2010
    Inventors: Benyamin Buller, William J. DeVore, Juergen Frosien, Xinrong Jiang, Richard L. Lozes, Henry Thomas Pearce-Percy, Dieter Winkler, Steven T. Coyle, Helmut Banzhof
  • Patent number: 7780833
    Abstract: An electrochemical cell 102 comprises an ion exchange membrane 10 having anion and cation exchange materials. The membrane 10 can have separate anion and cation exchange layers 12, 14 that define a heterogeneous water-splitting interface therebetween. In one version, the membrane 10 has a textured surface having a pattern of texture features 26 comprising spaced apart peaks 28 and valleys 30. The membranes 10 can also have an integral spacer 80. A cartridge 100 can be fabricated with a plurality of the membranes 10 for insertion in a housing 129 of the electrochemical cell 102. The housing 129 can also have a detachable lid 96 that fits on the cartridge 100. The electrochemical cell 102 can be part of an ion controlling apparatus 120.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: August 24, 2010
    Inventors: John Hawkins, Eric Nyberg, George Kayser, James Crawford Holmes, Paul Schoenstein, Ashok Janah
  • Patent number: 7768765
    Abstract: A support for a substrate processing chamber comprises a fluid circulating reservoir comprising a channel having serpentine convolutions. A fluid inlet supplies a heat transfer fluid to the fluid circulating reservoir and a fluid outlet discharges the heat transfer fluid. In one version, the channel is doubled over to turn back upon itself.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: August 3, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Andrew Nguyen, Wing Lau Cheng, Hiroji Hanawa, Semyon L. Kats, Kartik Ramaswamy, Yan Ye, Kwok Manus Wong, Daniel J. Hoffman, Tetsuya Ishikawa, Brian C. Lue
  • Patent number: 7762114
    Abstract: A method of fabricating a component for a substrate processing chamber involves providing a preform having internal and external surfaces, and providing a mandrel having a textured surface with a pattern of textured features comprising protrusions and depressions. The internal surface of the preform component is contacted with the textured surface of mandrel, and a pressure is applied to the external surface of the preform. The pressure is sufficiently high to plastically deform the preform over the textured surface of the mandrel to form a component having a textured internal surface comprising the pattern of textured feature that are shaped and sized to adhere process residues generated in the processing of substrates.
    Type: Grant
    Filed: September 9, 2005
    Date of Patent: July 27, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Abney, Anthony Vesci, Joseph F. Sommers, Marc O'Donnell Schweitzer, Scott Dickerson, Jennifer Watia Tiller
  • Patent number: 7758763
    Abstract: A substrate comprising a resist layer overlying a dielectric feature, is processed in a substrate processing chamber comprising an antenna, and first and second process electrodes. A process gas comprising CO2 is introduced into the chamber. The process gas is energized to form a plasma by applying a source voltage to the antenna, and by applying to the electrodes, a first bias voltage having a first frequency of at least about 10 MHz and a second bias voltage having a second frequency of less than about 4 MHz. The ratio of the power level of the first bias voltage to the second bias voltage is sufficient to obtain an edge facet height of the underlying dielectric feature that is at least about 10% of the height of the dielectric feature.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: July 20, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Siyi Li, Terry Leung, Michael D. Armacost
  • Patent number: 7695982
    Abstract: A wafer comprising a low-k dielectric layer is refurbished for reuse. Initially, a removable layer is provided on the wafer. The low-k dielectric layer is formed over the removable layer. The overlying low-k dielectric layer is removed from the wafer by etching away the removable layer by at least partially immersing the wafer in an etching solution. Thereafter, another low-k dielectric layer can be formed over another removable layer.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: April 13, 2010
    Assignee: Applied Matreials, Inc.
    Inventors: Hong Wang, Krishna Vepa, Paul V. Miller
  • Patent number: 7697260
    Abstract: An electrostatic chuck is capable of attachment to a pedestal in a process chamber. The chuck has an electrostatic puck comprises a ceramic body with an embedded electrode. The ceramic body has a substrate support surface with an annular periphery. The chuck also has a base plate below the electrostatic puck that is a composite of a ceramic material and a metal. The base plate has an annular flange extending beyond the periphery of the ceramic body. The base plate and electrostatic puck can be supported by a support pedestal having a housing and an annular ledge that extends outwardly from the housing to attach to the annular flange of the base plate. A heat transfer plate having an embedded heat transfer fluid channel can also be provided.
    Type: Grant
    Filed: March 31, 2004
    Date of Patent: April 13, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Karl Brown, Nora Arellano, Semyon Sherstinsky, Allen Lau, Cheng-Hsiung Tsai, Vineet Mehta, Steve Sansoni, Wei W. Wang
  • Patent number: 7670436
    Abstract: A substrate ring assembly is provided for a substrate support having a peripheral edge. The assembly has an annular band having an inner perimeter that surrounds and at least partially covers the peripheral edge of the substrate support. The assembly also has a clamp to secure the annular band to the peripheral edge of the substrate support.
    Type: Grant
    Filed: November 3, 2004
    Date of Patent: March 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Keith A. Miller, Ilya Lavitsky
  • Patent number: 7672110
    Abstract: An electrostatic chuck has an electrode embedded in a dielectric which is mounted on a pedestal. The dielectric has a contact surface with an average surface roughness of less than about 0.5 ?m, a surface peak waviness of less than about 0.12 ?m, and a surface peak waviness material ratio of greater than about 20%. The surface texture can be formed by lapping the dielectric surface with a slurry of abrasive particles.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: March 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, James Dempster, Semyon L. Kats, Allen Fox
  • Patent number: 7659206
    Abstract: A method of treating a substrate comprises depositing silicon oxycarbide on the substrate and removing the silicon oxycarbide from the substrate. The silicon oxycarbide on the substrate is decarbonized by exposure to an energized oxygen-containing gas that heats the substrate and converts the layer of silicon oxycarbide into a layer of silicon oxide. The silicon oxide is removed by exposure to a plasma of fluorine-containing process gas. Alternatively, the remaining silicon oxide can be removed by a fluorine-containing acidic bath. In yet another version, a plasma of a fluorine-containing gas and an oxygen-containing gas is energized to remove the silicon oxycarbide from the substrate.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Venkata Balagani
  • Patent number: 7655316
    Abstract: A cleaning wafer cleans process residues from a support surface used in the processing of a substrate in an energized gas. The cleaning wafer has a disc having a liquid precursor derived polyimide layer formed directly on the disc by applying a liquid polyimide precursor to the disc. The polyimide layer has a thickness of less than about 50 microns, and a cleaning surface shaped to match a contour of the support surface. Process residues adhere to the cleaning surface and are cleaned from the support surface upon removal of the cleaning wafer therefrom.
    Type: Grant
    Filed: July 9, 2004
    Date of Patent: February 2, 2010
    Assignee: Applied Materials, Inc.
    Inventor: Vijay D. Parkhe
  • Patent number: 7657390
    Abstract: Test substrates used to test semiconductor fabrication tools are reclaimed by reading from a database the process steps performed on each test substrate and selecting a reclamation process from a plurality of reclamation processes. The reclamation process can include crystal lattice defect or metallic contaminant reduction treatments for reclaiming each test substrate. Each test substrate is sorted and placed into a group of test substrates having a common defect or contaminant reduction treatment assigned to the test substrates of the group. Additional features are described and claimed.
    Type: Grant
    Filed: November 2, 2005
    Date of Patent: February 2, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Hong Wang
  • Patent number: 7652774
    Abstract: In determining an endpoint of etching a substrate, light that is directed toward the substrate is reflected from the substrate. A wavelength of the light is selected to locally maximize the intensity of the reflected light at an initial time point of the etching process. The reflected light is detected to determine an endpoint of the substrate etching process.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Lei Lian, Matthew F Davis
  • Patent number: 7632419
    Abstract: Apparatus for in-situ monitoring of a process in a semiconductor wafer processing system consists of a process chamber having a dome, an enclosure disposed above the chamber, a process monitoring assembly positioned proximate the dome, an opening in the dome, and a window covering the opening. A portion of the apparatus supports the process monitoring assembly to establish a line-of-sight propagation path of monitoring beams from above the dome, through the window to the substrate to facilitate etch depth measurement without encountering interference from high power energy sources proximate the chamber. A method of fabricating a process monitoring apparatus consists of the steps of boring an opening into a dome, positioning the process monitoring assembly in proximity to the dome so as to allow a line-of-sight propagation path of monitoring beams from the process monitoring assembly to a wafer, and covering the opening with a window.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: December 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Michael Grimbergen, Shaoher X. Pan