Patents Examined by Christopher G. Young
  • Patent number: 11372325
    Abstract: This application discloses a mask and a manufacture method thereof, including: a full shielding area, made of a light shielding material; a semi-transparent area, where there is at least one semi-transparent area and the semi-transparent area allows transmission of partial light, and a main body of the semi-transparent area is made of semi-transparent films, and full shielding structures are arranged in the semi-transparent films.
    Type: Grant
    Filed: October 23, 2018
    Date of Patent: June 28, 2022
    Assignees: HKC CORPORATION LIMITED, CHONGQING HKC OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: En-Tsung Cho
  • Patent number: 11372326
    Abstract: The present disclosure relates to a pellicle that can achieve both a high EUV transmittance and a uniformity in EUV transmittance by including a graphite thin film having a film thickness of 5 nm or more and 30 nm or less and a surface roughness (Sa) of 0.1 nm or more and 500 nm or less.
    Type: Grant
    Filed: September 4, 2020
    Date of Patent: June 28, 2022
    Assignees: KANEKA CORPORATION, UNIVERSITY OF HYOGO
    Inventors: Yuki Kawashima, Shuhei Ozaki, Masamitsu Tachibana, Takeo Watanabe, Tetsuo Harada
  • Patent number: 11366379
    Abstract: Extreme ultraviolet (EUV) mask blanks, methods for their manufacture and production systems therefor are disclosed. The EUV mask blanks comprise a substrate; a multilayer stack of reflective layers on the substrate; a capping layer on the multilayer stack of reflecting layers; and an absorber layer embedded in the multilayer stack of reflective layers.
    Type: Grant
    Filed: May 19, 2020
    Date of Patent: June 21, 2022
    Assignee: Applied Materials Inc.
    Inventors: Wen Xiao, Vibhu Jindal
  • Patent number: 11366380
    Abstract: According to one embodiment, a reflective mask comprises a reflection layer including a first region having a plurality of first patterns, a second region surrounding the first region, and a third region within the second region. The reflection layer includes a stack of alternating first layers and second layers. An absorber film covers the second region and has a second pattern that includes an opening exposing a portion of the third region. In some examples, the third region can include a plurality of third patterns therein.
    Type: Grant
    Filed: August 26, 2020
    Date of Patent: June 21, 2022
    Assignee: KIOXIA CORPORATION
    Inventor: Eishi Shiobara
  • Patent number: 11360376
    Abstract: A photolithography mask includes a substrate, a reflective multilayer structure over the substrate, an adhesion layer over the reflective multilayer structure, a capping layer over the adhesion layer, and a patterned absorber layer over the capping layer. The capping layer includes a non-crystalline conductive material.
    Type: Grant
    Filed: June 1, 2020
    Date of Patent: June 14, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11360377
    Abstract: The disclosure relates to a half-toned attenuated shift blankmask for extreme ultraviolet lithography including: a reflective film, a capping film, a first etch stop film, a phase shift film, a second etch stop film, and an absorbing film that are sequentially provided on a transparent substrate. The phase shift film has a high reflectance of 20% or more, so characteristics of NILS and MEEF are improved during wafer printing.
    Type: Grant
    Filed: October 23, 2020
    Date of Patent: June 14, 2022
    Assignee: S&S TECH Co., Ltd.
    Inventors: Cheol Shin, Jong-Hwa Lee, See-Jun Jeong, Chul-Kyu Yang
  • Patent number: 11360383
    Abstract: A method performed by a computing system includes receiving a layout pattern, receiving a target pattern associated with the layout pattern, receiving a set of constraints related to the target pattern, simulating a first contour associated with the layout pattern, determining a first difference between the first contour and the target pattern, simulating a second contour associated with a modified layout pattern, and determining a second difference between the second contour and a modified target pattern. The modified target pattern is different than the target pattern and within the constraints. The method further includes fabricating a mask having the final layout pattern.
    Type: Grant
    Filed: November 13, 2020
    Date of Patent: June 14, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Daniel Beylkin, Sagar Vinodbhai Trivedi
  • Patent number: 11360384
    Abstract: A method includes placing a photomask having a contamination on a surface thereof in a plasma processing chamber. The contaminated photomask is plasma processed in the plasma processing chamber to remove the contamination from the surface. The plasma includes oxygen plasma or hydrogen plasma.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: June 14, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Fu Yang, Pei-Cheng Hsu, Ta-Cheng Lien, Hsin-Chang Lee
  • Patent number: 11353787
    Abstract: The present application discloses a photomask for manufacturing an active switch and a method for manufacturing a display panel. The photomask includes a light shielding region, a semi-transmissive region, a light transmitting region and a hollowed-out region, where the light shielding region corresponds to a metal layer of the active switch and is configured to be lighttight; the semi-transmissive region corresponds to a channel region of the active switch, and is configured to be partially transmissive; the light transmitting region is a photomask region other than the light shielding region and the semi-transmissive region and is configured to be completely transmissive; and the hollowed-out region is located within the semi-transmissive region and is configured to be completely transmissive.
    Type: Grant
    Filed: December 4, 2018
    Date of Patent: June 7, 2022
    Assignee: HKC CORPORATION LIMITED
    Inventor: Chuan Wu
  • Patent number: 11340523
    Abstract: A method of correcting a designed pattern of a photomask for fabricating a semiconductor device is provided. A substrate is provided. A first mask pattern of the photomask designed to form a first contact pattern on the substrate is conceived. The first mask pattern includes a plurality of mask holes each having a hole size. The first mask pattern is adjusted to expand the hole size along a horizontal direction and rotate the mask holes for conceiving a second mask pattern of the photomask designed to form a second contact pattern having a plurality of contact holes. A plurality of device gaps between the contact holes is verified, and an overlay margin between the second contact pattern and an adjacent pattern in the semiconductor device is verified for determining whether the second contact pattern is the designed pattern of the photomask.
    Type: Grant
    Filed: December 11, 2019
    Date of Patent: May 24, 2022
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventors: Siwon Yang, Jiyong Yoo, Byung-In Kwon
  • Patent number: 11340525
    Abstract: A pellicle comprises a stress-controlled metal layer. The stress in said metal layer may be between about 500-50 MPa. A method of manufacturing a pellicle comprising a metal layer includes deposing said metal layer by plasma physical vapor deposition. Process parameters are selected so as to produce a desired stress value in said metal layer, such as between about 500-50 MPa.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: May 24, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po Hsuan Li, Yu-Ting Lin, Yun-Yue Lin, Huai-Tei Yang
  • Patent number: 11333966
    Abstract: Provided is a mask blank including a phase shift film. The phase shift film is made of a material containing a non-metallic element and silicon and includes first, second, and third layers; refractive indexes n1, n2, and n3 of the first, second, and third layers, respectively, at the wavelength of an exposure light satisfy the relations of n1<n2 and n2>n3; and extinction coefficients k1, k2, and k3 of the first, second, and third layers, respectively, at the wavelength of an exposure light satisfy the relation of k1>k2>k3.
    Type: Grant
    Filed: November 20, 2018
    Date of Patent: May 17, 2022
    Assignee: HOYA CORPORATION
    Inventors: Osamu Nozawa, Yasutaka Horigome, Hitoshi Maeda
  • Patent number: 11333965
    Abstract: Provided is a phase shift mask blank including a substrate, and a phase shift film thereon, the phase shift film composed of a material containing silicon and nitrogen and free of a transition metal, exposure light being KrF excimer laser, the phase shift film consisting of a single layer or a plurality of layers, the single layer or each of the plurality of layers having a refractive index n of at least 2.5 and an extinction coefficient k of 0.4 to 1, with respect to the exposure light, and the phase shift film having a phase shift of 170 to 190° and a transmittance of 4 to 8%, with respect to the exposure light, and a thickness of up to 85 nm.
    Type: Grant
    Filed: March 27, 2020
    Date of Patent: May 17, 2022
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: Takuro Kosaka
  • Patent number: 11327405
    Abstract: In a method of manufacturing a photo mask for lithography, circuit pattern data are acquired. A pattern density, which is a total pattern area per predetermined area, is calculated from the circuit pattern data. Dummy pattern data for areas having pattern density less than a threshold density are generated. Mask drawing data is generated from the circuit pattern data and the dummy pattern data. By using an electron beam from an electron beam lithography apparatus, patterns are drawn according to the mask drawing data on a resist layer formed on a mask blank substrate. The drawn resist layer is developed using a developing solution. Dummy patterns included in the dummy pattern data are not printed as a photo mask pattern when the resist layer is exposed with the electron beam and is developed.
    Type: Grant
    Filed: October 26, 2020
    Date of Patent: May 10, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Cheng Chen, Chia-Jen Chen, Hsin-Chang Lee, Shih-Ming Chang, Tran-Hui Shen, Yen-Cheng Ho, Chen-Shao Hsu
  • Patent number: 11320733
    Abstract: A reticle, a reticle container and a method for discharging static charges accumulated on a reticle are provided. The reticle includes a mask substrate, a reflective multilayer (ML) structure, a capping layer, an absorption structure and a conductive material structure. The mask substrate has a front-side surface and a back-side surface. The reflective ML structure is positioned over the front-side surface of mask substrate. The capping layer is positioned over the reflective ML structure. The absorption structure is positioned over the capping layer. The conductive material structure is positioned over a sidewall surface of the mask substrate and a sidewall surface of the absorption structure.
    Type: Grant
    Filed: October 8, 2020
    Date of Patent: May 3, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsiao-Lun Chang, Chueh-Chi Kuo, Tsung-Yen Lee, Tzung-Chi Fu, Li-Jui Chen, Po-Chung Cheng, Che-Chang Hsu
  • Patent number: 11314164
    Abstract: The structure and methods of a reticle pod are provided. A reticle pod includes a base configured to support a reticle and a cover detachably coupled to the base. The cover includes a window that allows radiation at a wavelength between about 400 nm and about 700 nm to pass through with a transmittance of greater than 70%.
    Type: Grant
    Filed: December 31, 2019
    Date of Patent: April 26, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Wang Cheng Shih, Hao-Ming Chang, Chung-Yang Huang, Cheng-Ming Lin
  • Patent number: 11314162
    Abstract: Provided is a mask blank in which a light shielding film which is a single layer film formed of a silicon nitride-based material has high light shielding performance against ArF exposure light and is capable of reducing EMF bias of a pattern of the light shielding film. The mask blank includes the light shielding film on a transparent substrate. The light shielding film has an optical density of 3.0 or greater to ArF exposure light. A refractive index n and an extinction coefficient k of the light shielding film to ArF exposure light simultaneously satisfy relationships defined by Formulas (1) and (2) below. n?0.0733×k2+0.4069×k+1.0083??Formula (1) n?29.316×k2?92.292×k+72.
    Type: Grant
    Filed: February 28, 2018
    Date of Patent: April 26, 2022
    Assignee: HOYA CORPORATION
    Inventors: Kazutake Taniguchi, Hiroaki Shishido
  • Patent number: 11314169
    Abstract: A robust, high-transmission pellicle for extreme ultraviolet lithography systems is disclosed. In one example, the present disclosure provides a pellicle that includes a membrane and a frame supporting the membrane. The membrane may be formed from at least one of a transparent carbon-based film and a transparent silicon based film. The at least one of the transparent carbon-based film and the transparent silicon based film may further be coated with a protective shell. The frame may include at least one aperture to allow for a flow of air through a portion of the pellicle.
    Type: Grant
    Filed: May 27, 2020
    Date of Patent: April 26, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Yun-Yue Lin
  • Patent number: 11314163
    Abstract: A pellicle frame for supporting a pellicle, the frame having a first surface and a second surface opposite the first surface, and a structure provided between the first and the second surfaces, wherein the first and second surfaces and the structure at least partially define at least one volume therebetween that is devoid of the material that forms the frame.
    Type: Grant
    Filed: August 28, 2018
    Date of Patent: April 26, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Anton Wilhelmus Duys, Maxim Aleksandrovich Nasalevich, Arnoud Willem Notenboom, Mária Péter, Pieter-Jan Van Zwol, David Ferdinand Vles
  • Patent number: 11307489
    Abstract: A photomask and a method of manufacturing a photomask are provided. According to an embodiment, a method includes: providing a substrate; depositing a reflective layer over the substrate; depositing a capping layer over the reflective layer; depositing an absorption layer over the capping layer; and treating the reflective layer by a laser beam to form a border region. The laser beam includes a pulse duration of less than about ten picoseconds.
    Type: Grant
    Filed: August 15, 2019
    Date of Patent: April 19, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Feng Yuan Hsu, Tran-Hui Shen, Ching-Hsiang Hsu