Patents Examined by Christopher Young
  • Patent number: 8822134
    Abstract: The disclosed resist developer is used when developing by irradiating an energy beam onto a resist layer containing a polymer of ?-chloromethacrylate and ?-methylstyrene for rendering or exposure, and contains a fluorocarbon-containing solvent (A) and an alcohol solvent (B), the latter of which has higher solubility relative to the resist layer than the former.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: September 2, 2014
    Assignee: Hoya Corporation
    Inventors: Hiromasa Iyama, Hideo Kobayashi
  • Patent number: 8822107
    Abstract: The present disclosure provide one embodiment of a method of a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel area S1 to generate a data grid having a second pixel area S2 that is equal to n2*S1, wherein the pattern generator includes a multi-segment structure having multiple grid segments, wherein the grid segments includes a first set of grid segments and a second set of grid segments, each of the first set of grid segments being configured to have an offset in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each of the second set of grid segments is controlled to have a time delay.
    Type: Grant
    Filed: January 30, 2013
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8822106
    Abstract: The present disclosure provides an embodiment of a method, for a lithography process for reducing a critical dimension (CD) by a factor n wherein n<1. The method includes providing a pattern generator having a first pixel size S1 to generate an alternating data grid having a second pixel size S2 that is <S1, wherein the pattern generator includes multiple grid segments configured to offset from each other in a first direction; and scanning the pattern generator in a second direction perpendicular to the first direction during the lithography process such that each subsequent segment of the grid segments is controlled to have a time delay relative to a preceding segment of the grid segments.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: September 2, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Pei-Yi Liu, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8815473
    Abstract: Techniques for reducing the number of shots required by a radiation beam writing tool to write a pattern, such as fractured layout design, onto a substrate. One or more apertures are employed by a radiation beam writing tool to write a desired pattern onto a substrate using L-shaped images, T-shaped images, or some combination of both. By reducing the number of shots required to write a pattern onto a substrate, various implementations of the invention may reduce the write time and/or write complexity of the write process.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: August 26, 2014
    Inventors: Emile Y. Sahouria, Steffen F. Schulze
  • Patent number: 8790863
    Abstract: In a method for imaging a solid state substrate, a vapor is condensed to an amorphous solid water condensate layer on a surface of a solid state substrate. Then an image of at least a portion of the substrate surface is produced by scanning an electron beam along the substrate surface through the water condensate layer. The water condensate layer integrity is maintained during electron beam scanning to prevent electron-beam contamination from reaching the substrate during electron beam scanning. Then one or more regions of the layer can be locally removed by directing an electron beam at the regions. A material layer can be deposited on top of the water condensate layer and any substrate surface exposed at the one or more regions, and the water condensate layer and regions of the material layer on top of the layer can be removed, leaving a patterned material layer on the substrate.
    Type: Grant
    Filed: October 26, 2011
    Date of Patent: July 29, 2014
    Assignee: President and Fellows of Harvard College
    Inventors: Daniel Branton, Anpan Han, Jene A. Golovchenko
  • Patent number: 8785086
    Abstract: To solve a problem of reduction in accumulated energy due to backward scattering, leading to degradation in CD linearity, which is caused when a generally used high-contrast resist is used in the manufacture of a reflective mask. A reflective mask blank for manufacturing a reflective mask includes a substrate, a multilayer reflective film which is formed on the substrate and adapted to reflect exposure light, and an absorber film which is formed on the multilayer reflective film and adapted to absorb the exposure light. A resist film for electron beam writing is formed on the absorber film and the contrast value ? of the resist film for electron beam writing is 30 or less.
    Type: Grant
    Filed: July 29, 2011
    Date of Patent: July 22, 2014
    Assignee: Hoya Corporation
    Inventors: Masahiro Hashimoto, Kazunori Ono, Kenta Tsukagoshi, Tooru Fukui
  • Patent number: 8771906
    Abstract: In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, in which the union of shots from one of a plurality of exposure passes is different than the union of shots from a different exposure pass. Methods for manufacturing a reticle and manufacturing an integrated circuit are also disclosed, in which the union of shots from one of a plurality of charged particle beam exposure passes is different than the union of shots from a different exposure pass.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: July 8, 2014
    Assignee: D2S, Inc.
    Inventors: Harold Robert Zable, Akira Fujimura
  • Patent number: 8758964
    Abstract: Disclosed is an LCD panel photolithography process, employed in a lithography system for manufacturing a plurality of LCD panel, comprising steps of: performing photolithography to a glass substrate with a first mask, and the first mask comprises a plurality of sets of alignment marks corresponding to a plurality of following masks thereafter, and a plurality of sets of alignment marks corresponding to the plurality of following masks thereafter are formed on the glass substrate; and employing the plurality of sets of alignment marks on the glass substrate respectively, to perform alignment procedure and photolithography for the plurality of following masks with the plurality of sets of alignment marks on the glass substrate to form patterns; wherein corresponding to the same LCD panel area, the plurality of sets of alignment marks on the glass substrate have different position coordinates respectively.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: June 24, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology Co. Ltd.
    Inventor: Cai-li Zhang
  • Patent number: 8753788
    Abstract: An apparatus includes a probe tip configured to contact the mask, a cantilever configured to mount the probe tip wherein the cantilever includes a mirror, an optical unit having a light source projecting a light beam on the mirror and a light detector receiving a reflected light beam from the mirror, and an electrical power supply configured to connect the probe tip. The apparatus further includes a computer system configured to connect the optical unit, the electrical power supply, and the stage. The electrical power supply provides an electrical current to the probe tip and heats the probe tip to a predetermined temperature. The heated probe tip repairs a defect by smoothing and reducing a dimension of the defect, and inducing structural deformations of multilayer that cancel out the distortion (of multilayer) caused by buried defects using the heated probe tip as a thermal source canning the defect.
    Type: Grant
    Filed: January 2, 2013
    Date of Patent: June 17, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Hao Yu, Yun-Yue Lin
  • Patent number: 8748064
    Abstract: A charged particle beam drawing method according to an embodiment is a method including forming a first measurement pattern in a first measurement pattern area; in succession with processing of forming the first measurement pattern, forming a second measurement pattern in a second measurement pattern area located farthest from the first measurement pattern area in the same column as the first measurement pattern area; and in moving a charged particle beam from the second measurement pattern area to a third measurement pattern area located adjacent to the first measurement pattern area in the same column as the first and second measurement patterns to form a third measurement pattern, moving the charged particle beam to the third measurement pattern area while taking tiny shots approximately equivalent to a data resolution at the adjacent measurement pattern areas to be drawn in the same column one after another from the second measurement pattern.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: June 10, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Rieko Nishimura, Satoshi Nakahashi
  • Patent number: 8748063
    Abstract: Methods and structures for extreme ultraviolet (EUV) lithography are disclosed. A method includes determining a phase error correction for a defect in an EUV mask, determining an amplitude error correction for the EUV mask based on both the defect in the EUV mask and the phase error correction, and modifying the EUV mask with the determined phase error correction and the determined amplitude error correction.
    Type: Grant
    Filed: August 1, 2012
    Date of Patent: June 10, 2014
    Assignee: International Business Machines Corporation
    Inventors: Emily E. Gallagher, Gregory R. McIntyre, Alfred Wagner
  • Patent number: 8741506
    Abstract: The present invention provides a mask and a repairing method therefor. A reference area is selected in a configuration pattern of a mask template, the reference area is corresponding to a to-be-shaded area of a mask; a repair area is formed on a drillable member according to the reference area; a hollow area is formed in the repair area of the drillable member, the hollow area is corresponding to the to-be-shaded area; the drillable member is attached to the mask, the hollow area is corresponding to the to-be-shaded area; and shading material is coated on the drillable member, so as to form a shaded layer on the to-be-shaded layer.
    Type: Grant
    Filed: July 16, 2012
    Date of Patent: June 3, 2014
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: Jiaxing Ma
  • Patent number: 8741547
    Abstract: A multi charged particle beam writing apparatus according to an embodiment, includes a setting unit to set a second region such that more openings in remaining openings except for an opening through which the defective beam passes are included in the second region, a selection unit to select a mode from a first mode in which a pattern is written on a target object by using multiple beams having passed openings in the second region and a second mode in which multiple writing is performed while shifting a position by using at least one of remaining multiple beams in the state where the defective beam is controlled to be beam off and additional writing is performed for a position which was supposed to be written by the defective beam, and a writing processing control unit to control to write in the mode selected.
    Type: Grant
    Filed: December 6, 2012
    Date of Patent: June 3, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Ryoichi Yoshikawa, Munehiro Ogasawara
  • Patent number: 8741507
    Abstract: A method for separating photomask pattern, including the following steps: first, a layout pattern is provided, wherein the layout pattern is defined to have at least one critical pattern and at least one non-critical pattern. Then, a first split process is performed to separate the critical pattern into a plurality of first patterns and a plurality of second patterns. A second split process is performed to separate the non-critical pattern into a plurality of third patterns and a plurality of fourth patterns. Finally, the first patterns and the third patterns are output to a first photomask, and the second patterns and the fourth patterns are output to a second photomask.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: June 3, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Chun-Hsien Huang, Ming-Jui Chen, Chia-Wei Huang, Ting-Cheng Tseng
  • Patent number: 8741510
    Abstract: In a case where a substrate is exposed to exposure light of a first wavelength band, an exposure coefficient, which is defined as an amount of fluctuation of an imaging characteristic of a projection optical system per unit of exposure energy, for the first wavelength band is calculated using data of the amount of fluctuation of the optical characteristic of the projection optical system. An exposure coefficient for a second wavelength band that is different from the first wavelength band is calculated using the exposure coefficient for the first wavelength band. In a case where the substrate is exposed to exposure light of the second wavelength band, the amount of fluctuation of the imaging characteristic of the projection optical system is calculated using the exposure coefficient for the second wavelength band.
    Type: Grant
    Filed: November 27, 2012
    Date of Patent: June 3, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Rika Takahashi, Atsushi Shigenobu
  • Patent number: 8741511
    Abstract: A method for forming an integrated circuit (IC) is presented. The method includes providing a wafer having a substrate prepared with a photoresist layer. The photoresist layer is processed by passing a radiation from an exposure source of a lithography tool through a mask having a pattern. The process parameters of the lithography tool are determined by performing a pattern matching process. The photoresist layer is developed to transfer the pattern on the mask to the photoresist layer.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: June 3, 2014
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Wenzhan Zhou, Qun Ying Lin
  • Patent number: 8722286
    Abstract: A device for reflective electron-beam lithography and methods of producing the same are described. The device includes a substrate, a plurality of conductive layers formed on the substrate, which are parallel to each other and separated by insulating pillar structures, and a plurality of apertures in each conductive layer. Apertures in each conductive layer are vertically aligned with the apertures in other conductive layers and a periphery of each aperture includes conductive layers that are suspended.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: May 13, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Jaw-Jung Shin, Shy-Jay Lin, Burn Jeng Lin
  • Patent number: 8722287
    Abstract: The invention provides a phase shift focus monitor reticle, a manufacturing method thereof, and a method of monitoring focus difference using the phase shift focus monitor reticle. The phase shift focus monitor reticle comprises a shield comprising a plurality of light-transmitting portions with a certain width; and a glass layer positioned on the shield layer comprising a plurality of openings at the light-transmitting portions; wherein the width of the openings is half of the width of the light-transmitting portions; the depth of the openings is n*?/(N?1), wherein ? is the wavelength of the lights incident on the phase shift focus monitor reticle in air, N is the refractive index of the glass layer, n is a positive integer. The invention can be applied to thicker photoresist and different process machines.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: May 13, 2014
    Assignee: Shanghai Huali Microelectronics Corporation
    Inventors: Wenliang Li, Peng Wu
  • Patent number: 8724883
    Abstract: An inspection method for inspecting a device mounted on a substrate, includes generating a shape template of the device, acquiring height information of each pixel by projecting grating pattern light onto the substrate through a projecting section, generating a contrast map corresponding to the height information of each pixel, and comparing the contrast map with the shape template. Thus, a measurement object may be exactly extracted.
    Type: Grant
    Filed: July 5, 2013
    Date of Patent: May 13, 2014
    Assignee: Koh Young Technology Inc.
    Inventors: Joong-Ki Jeong, Yu-Jin Lee, Seung-Jun Lee
  • Patent number: 8709682
    Abstract: Provided is a method for reducing phase defects on many different types of semiconductor mask blanks. The method includes receiving a semiconductor mask blank substrate, creating alignment marks on the surface of the substrate, performing an inspection of the surface of the substrate to locate a plurality of surface defects, and repairing the plurality of surface defects on the surface of the substrate. A semiconductor mask is also provided that includes a repaired substrate a multilayer stack comprising a plurality of molybdenum and silicon layers, a capping layer, an absorber layer, and in some instances a photoresist layer.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: April 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Jen Chen, Anthony Yen, Hsin-Chang Lee, Sheng-Chi Chin