Patents Examined by Deoram Persaud
  • Patent number: 11586114
    Abstract: A method for determining a wavefront parameter of a patterning process. The method includes obtaining a reference performance (e.g., a contour, EPE, CD) of a reference apparatus (e.g., a scanner), a lens model for a patterning apparatus configured to convert a wavefront parameter of a wavefront to actuator movement, and a lens fingerprint of a tuning apparatus (e.g., a to-be-matched scanner). Further, the method involves determining the wavefront parameter (e.g., a wavefront parameter such as tilt, offset, etc.) based on the lens fingerprint of the tuning apparatus, the lens model, and a cost function, wherein the cost function is a difference between the reference performance and a tuning apparatus performance.
    Type: Grant
    Filed: June 21, 2019
    Date of Patent: February 21, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Duan-Fu Stephen Hsu, Christoph Rene Konrad Cebulla Hennerkes, Rafael C. Howell, Zhan Shi, Xiaoyang Jason Li, Frank Staals
  • Patent number: 11579535
    Abstract: A method for determining a contribution of a processing apparatus to a fingerprint of a parameter across a substrate, the method including: obtaining a delta image which relates to a difference between a first pupil image associated with inspection of a first feature on the substrate and a second pupil image associated with inspection of a second feature on the substrate, wherein the first and second features have different dose sensitivities; determining a rate of change of the difference in response to a variation of a dose used to form the first and second features; selecting a plurality of pixels within the delta image having a rate of change above a predetermined threshold; and determining the contribution using the determined rate of change and the delta image restricted to the plurality of pixels.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: February 14, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Roy Anunciado
  • Patent number: 11579534
    Abstract: A method of extracting a feature from a data set includes iteratively extracting a feature from a data set based on a visualization of a residual pattern within the data set, wherein the feature is distinct from a feature extracted in a previous iteration, and the visualization of the residual pattern uses the feature extracted in the previous iteration. Visualizing the data set using the feature extracted in the previous iteration may include showing residual patterns of attribute data that are relevant to target data. Visualizing the data set using the feature extracted in the previous iteration may involve adding cluster constraints to the data set, based on the feature extracted in the previous iteration. Additionally or alternatively, visualizing the data set using the feature extracted in the previous iteration may involve defining conditional probabilities conditioned on the feature extracted in the previous iteration.
    Type: Grant
    Filed: February 6, 2020
    Date of Patent: February 14, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Maialen Larranaga, Dimitra Gkorou, Faegheh Hasibi, Alexander Ypma
  • Patent number: 11573496
    Abstract: A lithographic apparatus comprising a projection system comprising at least one optical component and configured to project a pattern onto a substrate. The lithographic apparatus further comprises a control system arranged to reduce the effects of heating and/or cooling of an optical component in a lithographic process. The control system is configured at least: to select at least one of a plurality of mode shapes to represent a relationship between at least one input in the lithographic process and an aberration resulting from the input and to generate and apply a correction to the lithographic apparatus based on the mode shape.
    Type: Grant
    Filed: August 26, 2021
    Date of Patent: February 7, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Nick Kant, Martijn Cornelis Schaafsma
  • Patent number: 11567417
    Abstract: Apparatus and methods of performing nanoimprint lithography using an anti-slip landing ring are provided. In one embodiment, a process chamber for nanoimprint lithography is provided and includes a substrate support and a ring disposed on the substrate support. The ring has a top surface opposite the substrate support, and the top surface has a grid pattern. A bottom surface facing the substrate support has a different pattern compared to the grid pattern.
    Type: Grant
    Filed: January 19, 2022
    Date of Patent: January 31, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jing Jiang, Suraj Yadav, Amita Joshi, Vivian Hsu
  • Patent number: 11567413
    Abstract: A method for determining measurement data of a printed pattern on a substrate. The method involves obtaining (i) images of the substrate including a printed pattern corresponding to a reference pattern, (ii) an averaged image of the images, and (iii) a composite contour based on the averaged image. Further, the composite contour is aligned with respect to a reference contour of the reference pattern and contours are extracted from the images based on both the aligned composite contour and the output of die-to-database alignment of the composite contour. Further, the method determines a plurality of pattern measurements based on the contours and the measurement data corresponding to the printed patterns based on the plurality of the pattern measurements. Further, the method determines a one or more process variations such as stochastic variation, inter-die variation, intra-die variation and/or total variation.
    Type: Grant
    Filed: January 30, 2020
    Date of Patent: January 31, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Chang An Wang, Alvin Jianjiang Wang, Jiao Liang, Jen-Shiang Wang, Mu Feng
  • Patent number: 11561480
    Abstract: A computer-implemented defect prediction method for a device manufacturing process involving processing a pattern onto a substrate. Non-correctable error is used to help predict locations where defects are likely to be present, allowing improvements in metrology throughput. In an embodiment, non-correctable error information relates to imaging error due to limitations on, for example, the lens hardware, imaging slit size, and/or other physical characteristics of the lithography system. In an embodiment, non-correctable error information relates to imaging error induced by lens heating effects.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: January 24, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Ivo Liebregts, Niladri Sen, Koen Thuijs, Ronaldus Johannes Gysbertus Goossens
  • Patent number: 11562898
    Abstract: A method includes transferring a wafer to a position over a wafer chuck; ejecting a first gas from a purging device above the wafer to clean a top surface of the wafer; after ejecting the first gas, lifting a lifting pin through the wafer chuck to receive the wafer; and after the wafer is received by the lifting pin, ejecting a second gas from first openings in a sidewall of the lifting pin to a region between a bottom surface of the wafer and a top surface of the wafer chuck.
    Type: Grant
    Filed: March 30, 2021
    Date of Patent: January 24, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Min-Cheng Wu, Chi-Hung Liao
  • Patent number: 11556066
    Abstract: The invention provides a stage system comprising a stage (ST) which is movable in respect of a reference structure. One of the stage and the reference structure comprises a reflective surface (REFS). An optical position sensor (IF1) is arranged at the other one of the stage and the reference structure and is configured to determine a position of the reflective surface relative to the optical position sensor. An optical shape sensor (IF2) is configured to determine a shape of the reflective surface. The stage system further comprises a position measurement controller configured to derive a stage position of the stage from the position of the reflective surface relative to the optical position sensor and from the shape of the reflective surface as determined by the optical shape sensor.
    Type: Grant
    Filed: January 30, 2020
    Date of Patent: January 17, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Maarten Jozef Jansen, Frank Auer
  • Patent number: 11556055
    Abstract: Devices, systems, and methods (a) receive a predetermined fluid drop volume and an array of cells, wherein each cell in the array is associated with a respective predetermined fluid volume; (b) scan the array of cells according to a scanning sequence for a next unassigned cell and add the next unassigned cell to a respective fill set; (c) add unassigned cells neighboring the next unassigned cell to the respective fill set until an aggregate of the respective predetermined fluid volumes of the cells in the respective fill set equals or exceeds the predetermined fluid drop volume; (d) place a fluid drop in the drop pattern within an area associated with the respective fill set and mark all cells in the respective fill set as assigned; and (e) repeat (b)-(d) until all cells in the array of cells have been assigned and the drop pattern has been generated.
    Type: Grant
    Filed: June 19, 2020
    Date of Patent: January 17, 2023
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ahmed M. Hussein
  • Patent number: 11556063
    Abstract: A substrate support for supporting a substrate. The substrate support comprises a main body, a clamping device and a dither device. The main body comprises a support surface for supporting the substrate. The clamping device is arranged to provide the clamping force to clamp the substrate on the support surface. The dither device is configured to dither the clamping force. The dither device may be configured to dither the clamping force while the substrate W is being loaded onto the support surface.
    Type: Grant
    Filed: March 21, 2017
    Date of Patent: January 17, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Johannes Onvlee, Antonius Franciscus Johannes De Groot, Wim Symens, David Ferdinand Vles
  • Patent number: 11556114
    Abstract: A drawing apparatus according to the embodiment includes a chamber configured to house a processing target; a drawing part configured to draw a predetermined pattern on the processing target with a charged particle beam; a resistance measuring part configured to measure a resistance value of the processing target via a grounding member grounding the processing target in the chamber; a receiver configured to receive earthquake information; a controller configured to stop a drawing process in the chamber when the receiver receives the earthquake information; and an arithmetic processor configured to determine whether the processing target is grounded on a basis of the resistance value from the resistance measuring part, wherein the controller resumes the drawing process when the arithmetic processor determines that the processing target is grounded after the drawing process is stopped.
    Type: Grant
    Filed: February 12, 2020
    Date of Patent: January 17, 2023
    Assignee: NuFlare Technology, Inc.
    Inventor: Hikaru Yamamura
  • Patent number: 11550216
    Abstract: Systems and methods for shaping a film. The method of shaping a film may comprise dispensing a polymerizable fluid as a plurality of droplets onto a substrate. The method of shaping a film may further comprise bringing an initial superstrate contact region of a superstrate into contact with an initial subset of droplets of the plurality of droplets. The initial subset of droplets may merge and form an initial fluid film over the initial substrate contact region. The method of shaping a film may further comprise prior to the superstrate coming into contact with the remaining plurality of droplets on the substrate, polymerizing a region of the initial fluid film on the initial substrate contact region.
    Type: Grant
    Filed: November 25, 2019
    Date of Patent: January 10, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Anshuman Cherala, Mario Johannes Meissl, Byung-Jin Choi
  • Patent number: 11550234
    Abstract: An object, such as a sensor for an immersion lithographic apparatus, has an outer layer which comes in contact with immersion liquid and wherein the outer layer has a composition including a rare earth element. There is also provided an immersion lithographic apparatus having such an object and a method for manufacturing such an object.
    Type: Grant
    Filed: September 25, 2019
    Date of Patent: January 10, 2023
    Assignee: ASML Netherlands B.V.
    Inventors: Andrey Nikipelov, Johan Franciscus Maria Beckers
  • Patent number: 11537056
    Abstract: The present invention provides a measurement apparatus that measures a position of an object which includes a first mark and a second mark, comprising: an image capturing unit configured to capture the first mark and the second mark in a state in which the first mark and the second mark are contained in a field of view; and a polarizing element configured to generate different polarization directions from each other in light from the first mark and in light from the second mark which are incident on the image capturing unit.
    Type: Grant
    Filed: June 19, 2020
    Date of Patent: December 27, 2022
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Takamitsu Komaki, Tadao Nakamura
  • Patent number: 11537051
    Abstract: A control method for a spatial light modulator for an exposure apparatus having a projection optical system having an optical elements a state of each of which is allowed to be changed, the method sets states of optical elements located in a first area to a first distribution in which a first optical element in a first state and a second optical element in a second state are distributed in a first distribution pattern so that one portion of a light from the optical elements located in the first area enters the projection optical system and setting states of optical elements located in a second area to a second distribution in which the first optical element and the second optical element are distributed in a second distribution pattern to reduce a deterioration of the pattern image caused by a light that enters the projection optical system from the first area.
    Type: Grant
    Filed: March 14, 2018
    Date of Patent: December 27, 2022
    Assignee: NIKON CORPORATION
    Inventor: Satoshi Yashiki
  • Patent number: 11529774
    Abstract: A method and system for determining the quality and configuration of a structure that is constructed from a thermoformable material, such as a thermoplastic or thermoset material, and in particular thermoplastic composite tapes, where heat is applied to cure the thermoformable material. The quality of the build is monitored during the construction of the structure by determining the differential heat flux in the material as it cools from its elevated temperature. The system and method also may determine the location of defects in a structure being constructed so that remedial measures may be taken or production operations halted to address the defect. A transient thermal effect is applied to the structure being monitored, such as the thermoformable material being applied, which may be implemented from the applied heating of the thermoformable construction application process or additional heating.
    Type: Grant
    Filed: February 1, 2020
    Date of Patent: December 20, 2022
    Inventor: John Tyson, II
  • Patent number: 11526087
    Abstract: A method of manufacturing a semiconductor device is provided. The method includes transferring an internal shot and an external shot by performing a patterning process on a first wafer, analyzing an overlay of the first wafer, and performing a lithography process on a second wafer, based on the analyzing of the overlay of the first wafer, wherein the analyzing of the overlay of the first wafer includes providing, to the first region, first augmented overlays generated based on an orthogonal coordinate system using first and second directions perpendicular to each other as an axis, and providing, to the second region, second augmented overlays that are overlays in a radial direction from the center of the first wafer.
    Type: Grant
    Filed: August 13, 2021
    Date of Patent: December 13, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jeongjin Lee, Doogyu Lee, Seungyoon Lee
  • Patent number: 11520241
    Abstract: Methods and systems are described for reducing adhesion and controlling friction between a wafer and a wafer table during semiconductor photolithography wherein the tops of burls on the wafer table have a layer with a nanoscale topography.
    Type: Grant
    Filed: November 29, 2018
    Date of Patent: December 6, 2022
    Assignee: ASML Holding N.V.
    Inventors: Matthew Lipson, David Allen Heald, Iliya Sigal
  • Patent number: 11486697
    Abstract: A method, system and devices for optical structural health monitoring that implements digital image correlation (DIC) by applying an invisible pattern comprising a random dot pattern and/or codes, which is applied using a coating containing a dye or substance that is not visible during the normal lighting conditions. The structure is imaged at different time intervals by capturing images of the pattern and codes using a camera and suitable light source. The captured images of the pattern and codes are stored in a CAD file that represents the structure or part to which the pattern and codes are applied, and includes the locations of the pattern and codes. Comparative measurements of the pattern and codes (e.g., using DIC) determine one or more structural health parameters, such as strain, deformation, and other stresses or averse conditions that may be detected from one interval to another (e.g., between measurements).
    Type: Grant
    Filed: December 28, 2018
    Date of Patent: November 1, 2022
    Inventor: John Tyson, II