Patents Examined by Laureen Chan
  • Patent number: 11948780
    Abstract: Embodiments of the present disclosure relate to a system for pulsed direct-current (DC) biasing and clamping a substrate. In one embodiment, the system includes a plasma chamber having an electrostatic chuck (ESC) for supporting a substrate. An electrode is embedded in the ESC and is electrically coupled to a biasing and clamping network. The biasing and clamping network includes at least a shaped DC pulse voltage source and a clamping network. The clamping network includes a DC source and a diode, and a resistor. The shaped DC pulse voltage source and the clamping network are connected in parallel. The biasing and clamping network automatically maintains a substantially constant clamping voltage, which is a voltage drop across the electrode and the substrate when the substrate is biased with pulsed DC voltage, leading to improved clamping of the substrate.
    Type: Grant
    Filed: May 12, 2021
    Date of Patent: April 2, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Linying Cui, James Rogers, Leonid Dorf
  • Patent number: 11935731
    Abstract: A measurement part controls power supplied to a heater such that a temperature of the heater becomes constant by using a heater controller, and measures the supplied power in an unignited state in which plasma is not ignited and a transient state in which the power supplied to the heater decreases after plasma is ignited. A parameter calculator performs fitting on a calculation model, which includes a heat input amount from the plasma as a parameter, for calculating the power supplied in the transient state by using the power supplied in the unignited state and the transient state and measured by the measurement part, and calculates the heat input amount. An output part configured to output information based on the heat input amount calculated by the parameter calculator.
    Type: Grant
    Filed: June 17, 2019
    Date of Patent: March 19, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Yoshihiro Umezawa, Shinsuke Oka
  • Patent number: 11887821
    Abstract: An edge ring includes a first member made of a first material and having a contact surface with plasma generated inside the processing container, and a second member made of a second material having Young's modulus lower than that of the first material. The second member is provided on a side opposite to the contact surface of the first member such that a combined structure of the first member and the second member surrounds a periphery of a substrate placed on a stage inside a processing container of a plasma processing apparatus.
    Type: Grant
    Filed: August 21, 2020
    Date of Patent: January 30, 2024
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Masato Kon
  • Patent number: 11887811
    Abstract: Exemplary semiconductor substrate supports may include a pedestal having a shaft and a platen. The semiconductor substrate supports may include a cover plate. The cover plate may be coupled with the platen along a first surface of the cover plate. The cover plate may define a recessed channel in a second surface of the cover plate opposite the first surface. The semiconductor substrate supports may include a puck coupled with the second surface of the cover plate. The puck may incorporate an electrode. The puck may define a plurality of apertures extending vertically through the puck to fluidly access the recessed channel defined in the cover plate.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: January 30, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Khokan Chandra Paul, Ravikumar Patil
  • Patent number: 11869749
    Abstract: The substrate processing apparatus includes a process chamber providing a space for processing a substrate, a chuck member provided in the process chamber and supporting the substrate, a ring member provided to surround the chuck member, an edge electrode disposed in the ring member to be electrically insulated from the chuck member, an edge impedance controller electrically connected to the edge electrode and controlling an electric potential of the edge electrode, and a coupling compensator connected between the chuck member and the edge electrode and provided to cancel or adjust coupling between the chuck member and the edge electrode.
    Type: Grant
    Filed: October 13, 2019
    Date of Patent: January 9, 2024
    Assignee: SEMES CO., LTD.
    Inventors: Shant Arakelyan, Ja Myung Gu, Jong Hwan An
  • Patent number: 11848202
    Abstract: The present disclosure generally relates to process chambers for semiconductor processing. In one embodiment, a growth monitor for substrate processing is provided. The growth monitor includes a sensor holder and a crystal disposed in the sensor holder having a front side and a back side. An opening is formed in the sensor holder exposing a front side of the crystal. A gas inlet is disposed through the sensor holder to a plenum formed by the back side of the crystal and the sensor holder. A gas outlet is fluidly coupled to the plenum.
    Type: Grant
    Filed: November 30, 2021
    Date of Patent: December 19, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Zhepeng Cong, Mostafa Baghbanzadeh, Tao Sheng, Enle Choo
  • Patent number: 11832373
    Abstract: A plasma processing apparatus includes an antenna configured to generate plasma of a processing gas in a chamber. The antenna includes: an inner coil provided around the gas supply unit to surround a gas supply unit; and an outer coil provided around the gas supply unit and the inner coil to surround them. The outer coil is configured such that both ends of a wire forming the outer coil are opened; power is supplied from a power supply unit to a central point of the wire; the vicinity of the central point of the wire is grounded; and the outer coil resonates at a wavelength that is a half of a wavelength of the high frequency power. The inner coil is configured such that both ends of a wire forming the inner coil are connected through a capacitor and the inner coil is inductively coupled with the inner coil.
    Type: Grant
    Filed: September 21, 2022
    Date of Patent: November 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Takehisa Saito, Mayo Uda, Keigo Toyoda, Alok Ranjan, Toshiki Nakajima
  • Patent number: 11804400
    Abstract: A substrate processing apparatus that includes a chamber, a substrate support disposed in the chamber, and a connection is provided. The chamber is provided with a bottom including a first flow path, and the substrate support includes a second flow path. The connection connects the first flow path to the second flow path, and the connection includes a sleeve through which the first flow path is in fluid communication with the second flow path, and a core including a first rod segment and a first elastic foam segment. The core is disposed in the sleeve, and a gap is defined between an inner surface of the sleeve and a side surface of the first rod segment.
    Type: Grant
    Filed: February 25, 2021
    Date of Patent: October 31, 2023
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuaki Sato
  • Patent number: 11804366
    Abstract: A plasma processing apparatus includes a baffle structure between a mounting table and a processing chamber. The baffle structure has a first member and a second member. The first member has a first cylindrical part extending between the mounting table and the processing chamber, and a plurality of through-holes elongated in the vertical direction is formed in an array in the circumferential direction in the first cylindrical part. The second member has a second cylindrical part having an inner diameter greater than the outer diameter of the cylindrical part for the first member. The second member moves up and down in a region that includes the space between the first member and the processing chamber.
    Type: Grant
    Filed: August 13, 2021
    Date of Patent: October 31, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yuki Hosaka, Yoshihiro Umezawa, Toshiki Nakajima
  • Patent number: 11773489
    Abstract: The present disclosure relates to a gas confiner assembly designed to reduce the non-uniform deposition rates by confining the gas flow and changing the local gas flow distribution near the edge regions of the substrate. The material, size, shape and other features of the gas confiner assembly can be varied based on the processing requirements and associated deposition rates. In one embodiment, a gas confiner assembly for a processing chamber comprises a gas confiner configured to decrease gas flow and compensate for high deposition rates on edge regions of substrates. The gas confiner assembly also comprises a cover disposed below the gas confiner. The cover is configured to prevent a substrate support from being exposed to plasma.
    Type: Grant
    Filed: January 30, 2015
    Date of Patent: October 3, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Lai Zhao, Qunhua Wang, Robin L. Tiner, Soo Young Choi, Beom Soo Park
  • Patent number: 11743973
    Abstract: Provided is a placing table configured to place a workpiece thereon. The placing table includes: an electrostatic chuck configured to attract the workpiece; a support member configured to support a focus ring; and a metal base having a first region configured to support the electrostatic chuck and a second region configured to support the support member, the second region surrounding the first region. The support member includes: an intermediate layer formed of a ceramic sintered compact and supported on the second region via an adhesive; a thermally sprayed ceramic layer formed on the intermediate layer by a thermal spraying method; and a heater electrode provided within the thermally sprayed ceramic layer. The heater electrode is formed by the thermal spraying method.
    Type: Grant
    Filed: October 30, 2020
    Date of Patent: August 29, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Dai Kitagawa
  • Patent number: 11732359
    Abstract: A wafer holder comprising: a ceramic base having a wafer-mounting surface as an upper surface; and a conductive member embedded in the ceramic base, the conductive member including a circuit portion provided parallel to the wafer-mounting surface, a pull-out portion provided parallel to the wafer-mounting surface and spaced from the circuit portion in a direction opposite to a direction toward the wafer-mounting surface, and a connecting portion configured to electrically connect the circuit portion and the pull-out portion to each other.
    Type: Grant
    Filed: February 8, 2018
    Date of Patent: August 22, 2023
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Koichi Kimura, Akira Mikumo, Shigenobu Sakita, Daisuke Shimao
  • Patent number: 11728145
    Abstract: A stage includes: a pin insertion passage penetrating the stage on which a substrate is mounted, and configured to allow a lifter pin to be inserted into and penetrate the pin insertion passage, a heat transfer gas passage penetrating the stage, and configured to introduce a heat transfer gas onto a mounting surface of the stage; a common gas passage in communication with the pin insertion passage and the heat transfer gas passage, and configured to allow the heat transfer gas to flow through the common gas passage; and a first member disposed to face the common gas passage at a location at which the pin insertion passage and the common gas passage intersect each other, and configured to adjust a flow rate of the heat transfer gas introduced onto the mounting surface of the stage from the pin insertion passage.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: August 15, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Daisuke Satake
  • Patent number: 11699571
    Abstract: Exemplary semiconductor substrate supports may include a pedestal shaft. The semiconductor substrate supports may include a platen. The platen may define a fluid channel across a first surface of the platen. The semiconductor substrate supports may include a platen insulator positioned between the platen and the pedestal shaft. The semiconductor substrate supports may include a conductive puck coupled with the first surface of the platen and configured to contact a substrate supported on the semiconductor substrate support. The semiconductor substrate supports may include a conductive shield extending along a backside of the platen insulator and coupled between a portion of the platen insulator and the pedestal shaft.
    Type: Grant
    Filed: September 8, 2020
    Date of Patent: July 11, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Khokan Chandra Paul, Ravikumar Patil, Vijet Patil, Carlaton Wong, Adam J. Fischbach, Timothy Franklin, Tsutomu Tanaka, Canfeng Lai
  • Patent number: 11688590
    Abstract: An electrostatic-chuck heater is of a Johnsen-Rahbek type and is used in a process of forming a conductive film on a wafer. The electrostatic-chuck heater includes a disc-shaped ceramic base including an electrostatic electrode and a heating resistor, and a hollow shaft attached to a side of the ceramic base that is opposite a side having a wafer-mounting surface. A through-hole extends in a peripheral wall of the hollow shaft from a lower end through to an area of the wafer-mounting surface that is on an inner side with respect to a circular groove. The through-hole allows gas to be supplied from the lower end of the hollow shaft into a below-wafer space enclosed by the wafer-mounting surface, an outermost projection group, and the wafer mounted on the wafer-mounting surface.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: June 27, 2023
    Assignee: NGK INSULATORS, LTD.
    Inventors: Yutaka Unno, Reo Watanabe
  • Patent number: 11664261
    Abstract: An object of the present invention is to reduce non-uniformity of etching in a plane of a wafer. An electrostatic chuck device includes: an electrostatic chuck part having a sample mounting surface on which a sample is mounted and having a first electrode for electrostatic attraction; a cooling base part placed on a side opposite to the sample mounting surface with respect to the electrostatic chuck part to cool the electrostatic chuck part; and an adhesive layer that bonds the electrostatic chuck part and the cooling base part together, in which the cooling base part has a function of a second electrode that is an RF electrode, a third electrode for RF electrode or LC adjustment is provided between the electrostatic chuck part and the cooling base part, and the third electrode is bonded to the electrostatic chuck part and the cooling base part and insulated from the cooling base part.
    Type: Grant
    Filed: September 12, 2018
    Date of Patent: May 30, 2023
    Assignee: SUMITOMO OSAKA CEMENT CO., LTD.
    Inventors: Mamoru Kosakai, Masaki Ozaki, Keisuke Maeda
  • Patent number: 11664201
    Abstract: A substrate holding mechanism for holding a substrate placed on a stage which is rotatable with respect to a turntable, includes a substrate holding member, provided at a peripheral portion of the stage, fixed to a rotating shaft disposed below a surface on which the substrate is placed, and contactable to a side surface of the substrate placed on the stage, a biasing member having a first end fixed to the substrate holding member at a position closer to a center of the stage than the rotating shaft, and a second end fixed at a position separated from the substrate holding member toward the center of the stage and below the rotating shaft, and a pressing member configured to press upwardly a portion of the substrate holding member where the first end of the biasing member is fixed.
    Type: Grant
    Filed: January 14, 2021
    Date of Patent: May 30, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Hitoshi Kato, Toshiyuki Nakatsubo, Takeshi Kobayashi
  • Patent number: 11664205
    Abstract: Described herein is a technique capable of cleaning a surrounding structure of a substrate placing surface in an apparatus. According to one aspect of the technique, there is provided a substrate processing apparatus including: a process chamber in which a substrate is processed; a substrate mounting plate provided with a substrate non-placing surface and a plurality of substrate placing surfaces; a rotator configured to rotate the substrate mounting plate; a plasma generator configured to generate plasma such that a plasma density over the substrate non-placing surface is higher than a plasma density over the plurality of the substrate placing surfaces; a process gas supplier configured to supply a process gas into the process chamber; a cleaning gas supplier configured to supply a cleaning gas into the process chamber; and a heater placed below the substrate mounting plate.
    Type: Grant
    Filed: September 21, 2020
    Date of Patent: May 30, 2023
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Naofumi Ohashi
  • Patent number: 11658010
    Abstract: Provided are a substrate support unit capable of controlling a gradient between a center zone and an edge zone by adjusting impedances of center and edge electrodes constituting a lower electrode in a process chamber, and a substrate processing apparatus including the same. The substrate processing apparatus includes a housing, a shower head unit introduce a process gas for processing a substrate into the housing, and a support unit having an electrostatic chuck on which the substrate is mounted. The electrostatic chuck includes a dielectric plate constituting a body, a first heater configured to heat a first zone of the dielectric plate, and a second heater configured to heat a second zone of the dielectric plate. An etch rate for each zone of the substrate is controlled by adjusting an impedance or a voltage applied to each of the first heater and the second heater.
    Type: Grant
    Filed: August 6, 2020
    Date of Patent: May 23, 2023
    Assignee: Semes Co., Ltd.
    Inventors: Dae Hyun Kim, Sae Won Na
  • Patent number: 11646183
    Abstract: Semiconductor chamber components are described herein that includes one or more conduits for carrying a fluid between powered and grounded portions of the chamber component, the conduit configure to be less prone to arcing as compared to conventional components. In one example, a semiconductor chamber component is provided that includes a powered region, a grounded region, and a fluid conduit. The fluid conduit is disposed within the semiconductor chamber component and passes through the powered and grounded regions. The fluid conduit has an end to end electrical resistance of between 0.1 to 100 M?.
    Type: Grant
    Filed: March 20, 2020
    Date of Patent: May 9, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Stephen Donald Prouty, Alvaro Garcia De Gorordo, Andreas Schmid, Andrew Antoine Noujaim