Patents Examined by Luz Alejandro
  • Patent number: 6026762
    Abstract: An apparatus and methods for an upgraded CVD system providing a remote plasma for efficiently cleaning a chamber, according to a specific embodiment. Etching or depositing a layer onto a substrate also may be achieved using the upgraded CVD system of the present invention. In a specific embodiment, the present invention provides apparatus for an easily removable, conveniently handled, and relatively inexpensive, robust microwave plasma source as a retrofit for or a removable addition to existing CVD apparatus. The present invention provides an improved CVD apparatus or retrofit of existing CVD apparatus capable of producing a remote plasma for efficiently cleaning the chamber.
    Type: Grant
    Filed: April 23, 1997
    Date of Patent: February 22, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Chien-Teh Kao, Kenneth Tsai, Quyen Pham, Ronald L. Rose, Calvin R. Augason, Joseph Yudovsky
  • Patent number: 6016765
    Abstract: A plasma processing apparatus is furnished with a reactor which is furnished with a susceptor 12, a reaction gas delivery mechanism which delivers reaction gas to the inside of the reactor, a pumping mechanism 24 which pumps out an interior of the reactor, and a plasma-generating mechanism. The reactor is made of metal, the plasma-generating mechanism includes an at least single-winding coil 16 which produces an induced electric field, and the coil is established within the reactor and surrounding the plasma-generating space in a state surrounded by dielectrics parts 15 and 17.
    Type: Grant
    Filed: August 4, 1997
    Date of Patent: January 25, 2000
    Assignee: Anelva Corporation
    Inventors: Yoichiro Numasawa, Shinya Hasegawa, Tsutomu Tsukada, Nobuyuki Takahashi
  • Patent number: 6013136
    Abstract: A method for the manufacture of highly-integrated circuits on a semiconductor substrate includes applying coatings to front and back sides of a wafer of semiconductor material in at least one deposition process, and subsequently removing the coating on the back of the wafer by etching being carried out with the front of the wafer being free of lacquer. The etching is performed in a process chamber in which reactive particles produced in a plasma only reach the back of the wafer, while advances of the reactive particles toward the front of the wafer are prevented by a protective neutral gas.
    Type: Grant
    Filed: December 2, 1996
    Date of Patent: January 11, 2000
    Assignee: Siemens Aktiengesellschaft
    Inventor: Josef Mathuni
  • Patent number: 6009828
    Abstract: A method for producing a thin semiconductor film according to the present invention includes the steps of: placing a group-IV compound or a derivative thereof in a plasma state; decomposing the group-IV compound or the derivative thereof into active species; and depositing the active species on a substrate, wherein energy for generating plasma is intermittently supplied at a supply time interval which is equal to or less than a reciprocal of {(secondary reaction rate constant of a source gas reacting with active species other than long-life active species within the plasma).times.(number of source gas molecules)}.
    Type: Grant
    Filed: January 10, 1997
    Date of Patent: January 4, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Takashi Tomita, Katsuhiko Nomoto, Yoshihiro Yamamoto, Hitoshi Sannomiya, Sae Takagi
  • Patent number: 6006694
    Abstract: A reactor 20 includes a shield 50 which prevents the deposition of materials along a line-of-sight path from a wafer 26 toward and onto an electrode 32, or a window 38 which couples an electrode 32 to a reaction chamber of the reactor 20. The shield can be comprised of a conductor and/or an insulator. The shield can affect the character of a plasma generated in the reactor.
    Type: Grant
    Filed: December 1, 1998
    Date of Patent: December 28, 1999
    Assignee: Tegal Corporation
    Inventors: Stephen P. DeOrnellas, Robert A. Ditizio
  • Patent number: 6000360
    Abstract: The plasma processing apparatus according to the present invention comprises a processing chamber in which an object to be processed is processed, a lower electrode provided at a lower portion in the processing chamber, having a mount surface where the object is mounted, and applied with a bias voltage, gas introduce tube for introducing a processing gas into the processing chamber, an upper electrode provided fixedly on a roof portion of the processing chamber and supplied with a high-frequency power, to form plasma from the processing gas in the processing chamber, and a magnetic field formation portion provided fixedly on the roof portion of the processing chamber, for forming a magnetic field in the processing chamber.
    Type: Grant
    Filed: July 2, 1997
    Date of Patent: December 14, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 6001267
    Abstract: A plasma enhanced chemical processing reactor and method. The reactor includes a plasma chamber including a first gas injection manifold and a source of electromagnetic energy. The plasma chamber is in communication with a process chamber which includes a wafer support and a second gas manifold. The reactor also includes a vacuum system for exhausting the reactor. The method includes the steps of generating a plasma within the plasma chamber, introducing at least one gaseous chemical into the process chamber proximate to the wafer support, applying r.f. gradient to induce diffusion of the plasma to the area proximate the wafer support, and exhausting the reactor in a substantially symmetrical manner.
    Type: Grant
    Filed: February 21, 1997
    Date of Patent: December 14, 1999
    Assignee: Watkins-Johnson Company
    Inventors: Ron van Os, William J. Durbin, Richard H. Matthiesen, Dennis C. Fenske, Eric D. Ross
  • Patent number: 5997649
    Abstract: A reaction chamber for chemical vapor deposition of a material layer onto a substrate using a process gas comprises a chamber body having an inner wall which defines a process space for containing a substrate, a lid to effectively close the process space, and a planar showerhead positioned inside the chamber body for dispersing a process gas into the process space. A lower insulator plate is positioned on one side of the showerhead between the showerhead and the chamber body for electrically insulating the showerhead from the chamber body, and an upper insulator plate is positioned on the other side of the showerhead between the showerhead and the chamber body and lid for electrically insulating the showerhead from the chamber body and lid.
    Type: Grant
    Filed: April 9, 1998
    Date of Patent: December 7, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Joseph T. Hillman
  • Patent number: 5993597
    Abstract: The present invention provides:a plasma etching electrode made of single-crystal silicon, which has an electric resistance of 0.0001-40 .OMEGA.cm, whose crystal faces are (100), which is doped with boron or phosphorus, whose surface has been subjected to an etching treatment with an acid, and which has been subjected to a heat treatment in vacuum, or a plasma etching electrode made of polycrystalline silicon, which has an electric resistance of 0.0001-40 .OMEGA.cm, which is doped with boron or phosphorus, whose surface has been subjected to an etching treatment with an acid, and which has been subjected to a heat treatment in vacuum, anda process for producing a plasma etching electrode, which comprises doping metallic silicon with boron or phosphorus, subjecting the surface of the resulting material to an etching treatment with an acid, and subjecting the surface-etched material to a heat treatment in vacuum.With the plasma etching electrode, dust generation is minimized and uniform etching can be realized.
    Type: Grant
    Filed: June 26, 1997
    Date of Patent: November 30, 1999
    Assignee: Nisshinbo Industries, Inc.
    Inventors: Kazuo Saito, Yasushi Mochizuki, Akira Yamaguchi
  • Patent number: 5989349
    Abstract: A diagnostic pedestal assembly for measuring ion current and DC bias voltage within a high-power plasma reaction chamber of a semiconductor wafer processing system. The diagnostic pedestal assembly contains an aperture located in a surface of the pedestal and a probe element that is supported within the aperture.
    Type: Grant
    Filed: June 24, 1997
    Date of Patent: November 23, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kuang-Han Ke, Roger A. Lindley, Hongching Shan, Richard R. Mett
  • Patent number: 5988104
    Abstract: The present invention provides a plasma treatment system having a reaction chamber in which a plasma is generated to be irradiated onto a sample held on a sample holder in the reaction chamber. The reaction chamber has a top covered by a dielectric plate. The plasma treatment system also has an opposite electrode having a plurality of windows through which microwave is transmitted into the reaction chamber for causing the plasma, wherein the opposite electrode is provided over a top surface of the dielectric plate so that the opposite electrode is separated by the dielectric plate from the plasma generated in the reaction chamber.
    Type: Grant
    Filed: July 29, 1997
    Date of Patent: November 23, 1999
    Assignee: NEC Corporation
    Inventor: Hidetaka Nambu
  • Patent number: 5985092
    Abstract: A metal etching system has an etching chamber defined by quartz walls. Coils provided around the quartz walls of the etching chamber are used to apply a high frequency electrical field to at least a portion of the etching chamber. Containment walls are provided around the coils. An endpoint detection system is provided for the etching system which includes an optical fiber which extends through the containment wall and the coils, with an end of the optical fiber disposed in fixed relationship to the quartz walls of the etching chamber. A fixture mounts the optical fiber in the containment wall. An air-tight seal, such as an O-ring compressed around the optical fiber and compressed against the containment wall, is provided to limit air flow along the optical fiber to the surface of the etching chamber walls.
    Type: Grant
    Filed: May 28, 1997
    Date of Patent: November 16, 1999
    Assignee: United Microelectronics Corp.
    Inventors: Ko-Wen Chiu, Wen-Sheng Chien
  • Patent number: 5983828
    Abstract: Apparatus and method for an improved etch process. A power source alternates between high and low power cycles to produce and sustain a plasma discharge. Preferably, the high power cycles couple sufficient power into the plasma to produce a high density of ions (>10.sup.11 cm.sup.-3) for etching. Preferably, the low power cycles allow electrons to cool off to reduce the average random (thermal) electron velocity in the plasma. Preferably, the low power cycle is limited in duration as necessary to prevent excessive plasma loss to the walls or due to recombination of negative and positive ions. It is an advantage of these and other aspects of the present invention that average electron thermal velocity is reduced, so fewer electrons overcome the plasma sheath and accumulate on substrate or mask layer surfaces. A separate power source alternates between high and low power cycles to accelerate ions toward the substrate being etched. In one embodiment, a strong bias is applied to the substrate in short bursts.
    Type: Grant
    Filed: October 8, 1996
    Date of Patent: November 16, 1999
    Assignee: Mattson Technology, Inc.
    Inventor: Stephen E. Savas
  • Patent number: 5983829
    Abstract: A plasma process apparatus comprises a plasma process chamber, substrate-to-be-processed supporting means for supporting a substrate to be processed, provided in the process chamber, gas introducing means, gas evacuation means, microwave introducing means using an endless circular waveguide having a plurality of slots arranged around the process chamber, and radio frequency power supplying means for supplying radio frequency power to the substrate supporting means. The above arrangement permits a uniform plasma to be generated in high density and in a large area even under the low-pressure condition of about 1 mTorr without using a magnetic field, thus enabling etching of large-area substrates in super fine patterns and at high speed.
    Type: Grant
    Filed: October 29, 1996
    Date of Patent: November 16, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventor: Nobumasa Suzuki
  • Patent number: 5985032
    Abstract: In the process of dry etching or the like, the bond between specific atoms contained in a deposit attached on the interior wall of a chamber and composed of an etching by-product is monitored by using an infrared ray. An incoming infrared ray generated from a light source for monitoring is directed to the deposit so that the absorption spectrum of an outgoing infrared ray passing through the deposit is measured by an infrared-ray measuring device. As a result, accurate information on the inside of the chamber can be obtained and a reduction in production yield due to variations in etching characteristics and generated particles can be prevented. Moreover, the availability of an apparatus can be increased by optimizing a maintenance cycle based on a specific variation in the absorption spectrum of the infrared ray. In particular, process administration and process control in such processing using plasma as dry etching and plasma CVD can be improved.
    Type: Grant
    Filed: May 14, 1996
    Date of Patent: November 16, 1999
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Koji Eriguchi
  • Patent number: 5980769
    Abstract: A plasma etching method and apparatus are provided in which a distance between an ejection opening (20a) in a plasma generator (2) for ejecting an active species gas and a surface of an object to be etched can be changed to thereby shorten the time required for a surface flattening operation and reduce the cost of equipment as well. To this end, the ejection opening (20a) of a predetermined diameter is disposed in confrontation with a desired convex of the object to be etched in the form of a wafer (110). The active species gas in the form of an F gas (G) is ejected from the ejection opening (20a) to the convex to thereby flatten it through etching. A distance between the ejection opening and the convex is changed by means of a Z drive mechanism (4) to provide an etching area corresponding to an area of the convex, thus performing effective flattening of the wafer (110).
    Type: Grant
    Filed: November 6, 1997
    Date of Patent: November 9, 1999
    Assignee: Speedfam Co., Ltd.
    Inventors: Michihiko Yanagisawa, Shinya Iida
  • Patent number: 5975014
    Abstract: A method and apparatus employing a microwave applicator for use with an ECR plasma source for applications including etching and chemical vapor deposition is provided. A magnetic field is generated by magnets circumferentially arranged about a chamber that is symmetrical about its longitudinal axis. The microwave applicator, which comprises at least one pair of coaxial resonant multiport microwave antenna arrays, injects and distributes microwave power about a plasma forming portion of the chamber. The antenna arrays include a plurality of radiating stubs for radiating microwave power. The stubs are positioned along the arrays at predetermined intervals and selected orientations relative to a coaxial transmission line, for efficiently distributing microwave power uniformly about the plasma forming portion.
    Type: Grant
    Filed: January 12, 1998
    Date of Patent: November 2, 1999
    Assignee: ASM Japan K.K.
    Inventor: Raphael A. Dandl
  • Patent number: 5970907
    Abstract: To improve the processing rate and uniformity in a plasma processing for a substrate having a relatively large area, a plasma processing apparatus includes a reaction vessel which has a portion made of a dielectric member, which accommodates a film formation substrate, and which can be evacuated, an evacuating means and a gas supply means for supplying a predetermined gas into the reaction vessel, a cathode electrode arranged in a position outside the reaction vessel where the cathode electrode opposes the film formation substrate accommodated in the reaction vessel via the dielectric member, and a high frequency power supply means (a matching circuit and a high frequency power supply) for supplying high frequency power of 30 MHz to 300 MHz to the cathode electrode. The high frequency power of 30 MHz to 300 MHz is supplied to the cathode electrode to generate a plasma between the dielectric member and the film formation substrate.
    Type: Grant
    Filed: January 27, 1997
    Date of Patent: October 26, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Satoshi Takai, Atsushi Yamagami, Nobuyuki Okamura
  • Patent number: 5972161
    Abstract: A dry etcher for etching a thin film on a wafer, includes an etching chamber having the wafer loaded therein and a supply system for supplying a reaction gas to the etching chamber to etch the thin film on the wafer. A loadlock chamber controls an etching atmosphere before and after the wafer is loaded in and unloaded from the etching chamber. A pumping device pumps the reaction gas out of the etching chamber and the loadlock chamber after the thin film is etched. A heater or cleaning device prevents any residual reaction gas remaining in the etching chamber and loadlock chamber from condensing on the wafer when the wafer is exposed to ambient atmosphere after exiting the loadlock chamber. The heater or cleaning device may be disposed in the etching chamber or the loadlock chamber.
    Type: Grant
    Filed: April 11, 1997
    Date of Patent: October 26, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Wook Kim, Su-Kwang Noh, Jin-Ho Park
  • Patent number: 5968275
    Abstract: A plasma processing system configured for use in processing a substrate after metal etching. The substrate includes a layer of photoresist disposed thereon. The plasma processing system includes a plasma generating region and a baffle plate disposed between the plasma generating region and the substrate. The baffle plate includes a central blocked portion disposed in a center region of the baffle plate. The baffle plate further includes an annular porous portion surrounding the central blocked portion. The annular porous portion includes a plurality of through holes configured for permitting a H.sub.2 O plasma generated in the plasma generating region to pass through the holes to reach a surface of the substrate. The plasma processing system also includes a chuck disposed below the baffle plate to support the substrate during the processing.
    Type: Grant
    Filed: June 25, 1997
    Date of Patent: October 19, 1999
    Assignee: Lam Research Corporation
    Inventors: Changhun Lee, Vikram Singh, Yun-Yen Jack Yang