Patents Examined by Luz Alejandro
  • Patent number: 5910221
    Abstract: A plasma reactor, for example, for processing a semiconductor wafer, in which parts of the chamber are formed of multiple pieces of silicon carbide that have been bonded together. The bonding may be performed by diffusion bonding or by using a bonding agent such as polyimide. These silicon carbide parts typically face and define a plasma region. Preferably, the surface facing the plasma is coated with a silicon carbide film, such as that deposited by chemical vapor deposition, which is more resistant to erosion by the plasma. Advantageously, the different parts are formed with different electrical resistivities consistent with forming an advantageous plasma.
    Type: Grant
    Filed: June 18, 1997
    Date of Patent: June 8, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Robert W. Wu
  • Patent number: 5904778
    Abstract: A composite silicon carbide article and its method of making in which a surface layer or film of silicon carbide is deposited, for example by chemical vapor deposition (CVD), over a free standing silicon carbide substrate, as is formed by bulk methods such as sintering and hot pressing. The article is advantageously used in a plasma reactor, especially an oxide etcher for semiconductor fabrication, and may be any of several parts including the chamber wall, chamber roof, or collar around the wafer. The bulk SiC provides an inexpensive and strong support structure of perhaps a complex shape while the CVD SiC film has advantages for plasma processing and may be tailored to particular uses. The composite SiC structure is particularly useful in that the electrical conductivities of the bulk SiC and film SiC may be separately controlled so as to provide, among many possibilities, a grounding plane, a window for RF electromagnetic radiation, or both.
    Type: Grant
    Filed: July 26, 1996
    Date of Patent: May 18, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Hao A Lu, Nianci Han, Gerald Z Yin, Robert W Wu
  • Patent number: 5902404
    Abstract: A remote source of partially ionized plasma gas having ions and excited neutral atom species therein is provided. A chamber having a metallic outer shell and an inner insulative tube, is operated as a microwave resonant cavity, preferably having a diameter of about one quarter of the operating wavelength. A waveguide couples microwave energy from a source to a slot cut into the metallic outer shell of the cavity. Microwave energy passes through the inner energy transparent tube and excites reactant gases supplied from an input tube. Plasma is conducted from the cavity by a plasma output tube coupled into a processing chamber and controlled pressure pumping system.
    Type: Grant
    Filed: March 4, 1997
    Date of Patent: May 11, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Gary Fong, Irwin Silvestre, Quoc Truong
  • Patent number: 5902406
    Abstract: A low pressure CVD system comprising an inner tube having an upper end and a lower end opened, and made of a silicon carbide material, an outer tube including a circumferential wall surrounding an outer periphery of the inner tube with a predetermined spacing, an upper wall closing an upper end of the circumferential wall and a flange provided at a lower portion thereof, the outer tube having a lower end opened, a base portion for supporting the inner tube and the outer tube at the lower ends thereof, and for providing hermetic sealing between the lower end of the outer tube and the base portion, the base portion having a central portion formed with an opening, a lid provided for opening and shutting the opening in the base portion, and a furnace wall surrounding the circumferential wall and the upper wall of the outer tube, the furnace wall having a heater arranged on an inner side thereof wherein the outer tube is made of a silicon carbide material, and padding of a silicon carbide material is formed at a c
    Type: Grant
    Filed: March 14, 1997
    Date of Patent: May 11, 1999
    Assignee: Asahi Glass Company Ltd.
    Inventors: Taroh Uchiyama, Yukio Yoshikawa, Takashi Tsukamoto, Jiro Nishihama
  • Patent number: 5900163
    Abstract: A method for etching a layer of a microelectronic structure includes the steps of masking the layer to be etched so that predetermined portions of the layer are exposed, and providing an etching gas. An additional gas is also provided wherein the additional gas generates a compound having a carbene structure when exposed to a plasma discharge. A plasma of the etching gas and the additional gas is generated to thereby etch the exposed portions of the layer and to form the compound having a carbene structure. A polymer can thus be formed from the compound having the carbene structure on the sidewalls of the etched portions of the layer. Accordingly, the profile of the etched layer can be improved.
    Type: Grant
    Filed: January 16, 1997
    Date of Patent: May 4, 1999
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Whi-kun Yi, Dai-sik Moon, Sung-kyeong Kim, Kyung-hoon Kim, Gyu-hwan Kwag
  • Patent number: 5900065
    Abstract: In an apparatus for the deposition of polycrystalline diamond on large, flat substrates (3) by the plasma method, with a vacuum chamber (4); with locks for the inward and outward transfer of the substrates; with a device installed in the chamber (4) for conveying the substrates (3) through at least one, preferably through two treatment stations; with hot-filament sources (5, 5', . . . ) forming a first group, installed above the plane of the substrates; with microwave plasma sources (8, 8', . . . ) forming a second group; with an electrode (11) fed with radio frequency underneath the plane of the substrates for generating a bias voltage; and with gas feed pipes (6, 9) opening into the vacuum chamber (4), the hot-filament arrangements (5, 5', . . . ), designed as linear sources, are arranged transversely to the substrate transport direction (a) and form a first coating zone (Z.sup.1), where the microwave plasma sources (8, 8', . . .
    Type: Grant
    Filed: August 5, 1997
    Date of Patent: May 4, 1999
    Assignee: Leybold Systems GmbH
    Inventors: Michael Liehr, Claus-Peter Klages, Gunter Brauer
  • Patent number: 5900064
    Abstract: A process chamber (14) for processing a substrate (12) in a plasma, comprises a support for supporting the substrate having a surface with a perimeter (32). A gas distributor is provided for distributing process gas into the chamber (14). A plasma generator (40) is used to generate a plasma comprising plasma species from the process gas. A plurality of electrical ground pathways (80) around the perimeter (32) of the substrate (12) are spaced apart, electrically isolated from one another, and provide electrical paths to ground for the charge carried by the plasma species. Preferably, the ground pathways (80) extend through a dielectric surface (70) abutting and extending substantially continuously around the perimeter (32) of the substrate (12).
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: May 4, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Arnold Kholodenko
  • Patent number: 5897713
    Abstract: A plasma generating apparatus includes a container defining a hermetic process room. The container is connected to an exhaust for exhausting an interior of the process room and setting the interior of the process room to a vacuum, and a supply for supplying a process gas into the process room. First and second coils are wound on the outer surface of the container and disposed coaxially in order to generate in the process room an electric field for converting the process gas into a plasma. The first and second coils are connected to first and second RF power supplies for respectively applying first and second RF powers. The first and second RF powers respectively have first and second frequencies. The first and second frequencies are both 2 MHz or more, and a difference between them is set to fall in a range of from 1 kHz to 2 MHz. Hence, mutual interference of the first and second RF powers generates a synthesized wave having a periodically changing amplitude.
    Type: Grant
    Filed: September 17, 1996
    Date of Patent: April 27, 1999
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Tomioka, Makoto Sekine
  • Patent number: 5895551
    Abstract: The present invention discloses a plasma etching apparatus which can protect the surface of the wafer from a damage due to collisions among the etching ions and can also process a plurality of wafers only by one-time plasma generation. In the etching apparatus of the present invention, a plurality of wafers are loaded in the chamber by a plurality of wafer support members which are located vertically round the gas dispersion tube used as a cathode electrode, and magnetic field formation means are provided to form a magnetic field around each wafer.
    Type: Grant
    Filed: April 25, 1997
    Date of Patent: April 20, 1999
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Chang Heon Kwon
  • Patent number: 5893962
    Abstract: An electrode unit of a thermal CVD apparatus is used to generate plasma discharge for an in-situ cleaning process. The electrode unit is configured by a substrate holder and a shield member connected to a high frequency power supply, the gas supply section electrically grounded, and an auxiliary electrode disposed in the gas supply section. In a film deposition process, a reactive gas is supplied from the gas supply section, and the reactive gas is excited in a space in front of a substrate to deposit a thin film onto the substrate. In a periodical in-situ cleaning process, a cleaning gas is supplied from the gas supply section and a cleaning discharge is generated to remove unwanted films deposited on the substrate holder and the shield member. The auxiliary electrode causes the cleaning discharge to be concentrated in a space around unwanted films.
    Type: Grant
    Filed: September 9, 1996
    Date of Patent: April 13, 1999
    Assignee: Anelva Corporation
    Inventors: Shigeru Mizuno, Manabu Tagami, Takanori Yoshimura
  • Patent number: 5891252
    Abstract: A plasma processing apparatus in which power consumption is reduced, which can generate uniform plasma in a large range and in which minute processing in high etching selectivity and in high aspect ratio is enabled is disclosed. High density plasma is generated in a vacuum vessel housing a processed sample utilizing an electron cyclotron resonance phenomenon caused by an electromagnetic wave in an ultra-high frequency band and a magnetic field and the surface of the processed sample is etched using this plasma. An electromagnetic wave in an ultra-high frequency band for generating plasma is radiated from a planar conductive plate consisting of graphite or silicon which is arranged opposite to the surface of the processed sample into space inside the vacuum vessel. High density plasma in the low degree of dissociation can be generated by using an electromagnetic wave in an ultra-high frequency band and as a result, the controllability of etching reaction can be enhanced.
    Type: Grant
    Filed: December 13, 1996
    Date of Patent: April 6, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Ken'etsu Yokogawa, Tetsuo Ono, Kazunori Tsujimoto, Naoshi Itabashi, Masahito Mori, Shinichi Tachi, Keizo Suzuki
  • Patent number: 5888907
    Abstract: In a plasma etching apparatus, an inactive gas and a reactive gas are supplied from a gas spouting surface of a shower head, and are turned into plasma by means of RF discharge, so that a semiconductor wafer placed on a susceptor is etched by the plasma. The inactive gas is continuously supplied from inactive gas spouting holes formed all over the gas spouting surface. The reactive gas is supplied from reactive gas spouting holes, which are formed all over the gas spouting surface and divided into a plurality of groups, by repeatedly scanning the groups in a time-sharing manner.
    Type: Grant
    Filed: April 24, 1997
    Date of Patent: March 30, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Masayuki Tomoyasu, Shinji Himori
  • Patent number: 5885472
    Abstract: A method for detecting an etching endpoint and a plasma etching apparatus and a plasma etching system using such a device are disclosed, in which time series data of a signal corresponding to the amount of light of the plasma light generated during the plasma etching process are arithmetically processed, so that the change of light amount is corrected and an etching endpoint is detected from the time series data after the correction.
    Type: Grant
    Filed: October 7, 1996
    Date of Patent: March 23, 1999
    Assignee: Sumitomo Metal Industries Limited
    Inventors: Toshiya Miyazaki, Toshihiro Hayami, Tadao Nakatsuka, Hiroyuki Tanaka, Toshiyuki Nakamura
  • Patent number: 5882414
    Abstract: The present invention provides a method and apparatus for introducing gases into a processing chamber and cleaning isolated surfaces thereof. In one embodiment, the apparatus provides a gas distribution system which comprises a face plate and a blocker plate located adjacent the face plate wherein the blocker plate is electrically insulated from the face plate. An RF power source is electrically connected to the face plate and a switch that selectively connects the blocker plate to the RF power source or grounds the blocker plate. When the power source is applied to the faceplate and the blocker plate is grounded, an energy potential is formed between the face plate and the blocker plate. The energy potential is sufficient to strike a plasma from cleaning gases introduced into the gas distribution system to clean the apertures and surfaces of both the face plate and the blocker plate.
    Type: Grant
    Filed: September 9, 1996
    Date of Patent: March 16, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Gary L. Fong, Quoc Truong, Visweswaren Sivaramakrishman
  • Patent number: 5874361
    Abstract: A method of dechucking a workpiece from an electrostatic chuck. The method adaptively produces a dechucking voltage for canceling any unpredictable residual electrostatic fields between a workpiece and the electrostatic chuck. The method contains the steps of (a) applying a lifting force to the workpiece; (b) altering the chucking voltage; (c) measuring the lifting force; (d) comparing the measured lifting force to a threshold level; and, depending on the result of the comparison, either (e) maintaining the chucking voltage at its present level for a predefined period of time and physically dechucking the workpiece or (f) repeating steps (b), (c), (d) and (e).
    Type: Grant
    Filed: October 24, 1996
    Date of Patent: February 23, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Douglas Buchberger
  • Patent number: 5873977
    Abstract: A method of patterning layered structure oxide thin films involving placing the layered structure thin film (with or without a mask) laid on a substrate into a chamber which is partially filled with CHC1FCF.sub.3 gas and producing a glow discharge to cause the etching of the thin film ferroelectric material. The method provides high etch rates, good etch anisotropy and good etch uniformity. For example, for SBT and SBN thin films, the etch process provides etch rates in the range of 2.5 to 17.5 nm/min depending on the etch conditions and minimal etch residues at the end of the etch process is removed easily by low temperature (250.degree. C.) baking. Also, the method provides good etch selectivity in the films and minimal surface damage.
    Type: Grant
    Filed: February 22, 1995
    Date of Patent: February 23, 1999
    Assignees: Sharp Kabushiki Kaisha, Virginia Tech Intellectual Properties, Inc.
    Inventors: Seshu B. Desu, Wei Pan
  • Patent number: 5871588
    Abstract: An apparatus and method for wafer rotation in microelectronics manufacturing equipment is presented. The present invention combines an external stator assembly having a plurality of electromagnetic actuator coils with an internal rotor assembly having a plurality of multipolar permanent magnets or ferromagnetic coupling tabs. The rotor assembly supports the semiconductor wafer or any other substrate inside the process chamber. The electromagnetic actuator coils of the stator assembly receive a plurality of multi-phase, controlled frequency electrical currents to create magnetic fields around the actuator coils that interact with the multipolar permanent magnets or ferromagnetic coupling tabs of the rotor assembly to provide the rotational force to rotate the rotor assembly and thus, rotate the semiconductor wafer or any other substrate within the process chamber.
    Type: Grant
    Filed: July 10, 1996
    Date of Patent: February 16, 1999
    Assignee: CVC, Inc.
    Inventors: Mehrdad M. Moslehi, Yong Jin Lee
  • Patent number: 5871658
    Abstract: A method for monitoring and controlling a plasma etch method for forming a patterned layer. There is first provided a substrate having a blanket layer formed thereover, the blanket layer having a patterned photoresist layer formed thereupon. There is then etched through a plasma etch method while employing the patterned photoresist layer as a patterned photoresist etch mask layer the blanket layer to form a patterned layer. The plasma etch method is monitored through an optical emission spectroscopy (OES) method which monitors a minimum of a first plasma etchant component which relates to a chemical etching of the blanket layer and a second plasma etchant component which relates to a physical sputter etching of the blanket layer and the patterned photoresist layer.
    Type: Grant
    Filed: January 13, 1997
    Date of Patent: February 16, 1999
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hun-Jan Tao, Chia Shiung Tsai, Chen-Hua Yu
  • Patent number: 5868849
    Abstract: A surface processing device includes: a first gas supplying passage disposed near a surface of a wafer for supplying TEOS insusceptible to heating by microwave; a second gas supplying passage disposed near the wafer surface for supplying H.sub.2 O susceptible to heating by microwave; and a microwave generating unit disposed near the wafer surface for irradiating TEOS supplied from the first supplying passage and H.sub.2 O gas supplied from the second gas supplying passage with microwave and selectively heating only H.sub.2 O so that TEOS reacts with H.sub.2 O to form an SiO.sub.2 film on the wafer surface. Thus, a surface processing device capable of precisely controlling CVD reaction and thus forming a thin film having good step coverage is provided. A method of processing surfaces is also provided.
    Type: Grant
    Filed: September 6, 1996
    Date of Patent: February 9, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Shuji Nakao
  • Patent number: 5869401
    Abstract: A method in a plasma processing chamber, the chamber being employed for processing a substrate, for removing corrosive species from the plasma processing chamber after the substrate is processed. The method includes introducing a flash source gas comprising an oxidizing agent such as oxygen into the plasma processing chamber. The method further includes performing, a flash process, including striking a plasma in the plasma processing chamber with the flash source gas, thereby permitting oxygen species in the plasma to reduce a concentration of the corrosive species in the plasma processing chamber.
    Type: Grant
    Filed: December 20, 1996
    Date of Patent: February 9, 1999
    Assignee: Lam Research Corporation
    Inventors: Paul E. Brunemeier, Thomas Miu