Patents Examined by Nathan K Ford
  • Patent number: 11162173
    Abstract: Disclosed is apparatus for atomic layer deposition including a frame, an injector head with longitudinal slots supplying gases to deposition spaces confined by the longitudinal slots and a substrate. The slots are transverse to a movement in a first direction of the substrate, a subframe suspending the injector head; a movable carrier supporting the substrate for movement in the first direction; and gas pads at the subframe outside the injector head between the subframe and the moveable carrier, bearing the subframe on the carrier for the movement in the first direction. Actuators suspend the injector head from the subframe, and a control device connected to the actuators controls the actuators to adjust a working distance between a reference plane of the injector head and the surface of the substrate corresponding to a predetermined distance and to adjust an orientation of the injector head corresponding to an orientation of the substrate.
    Type: Grant
    Filed: January 14, 2016
    Date of Patent: November 2, 2021
    Assignee: SMIT THERMAL SOLUTIONS B.V.
    Inventors: Wiro Rudolf Zijlmans, Martin Dinant Bijker, Ernst Dullemeijer, Guido Lijster
  • Patent number: 11118265
    Abstract: A film deposition method includes steps of: placing a substrate in a substrate receiving area of a susceptor provided in a vacuum chamber; evacuating the vacuum chamber; alternately supplying plural kinds of reaction gases to the substrate in the substrate receiving area from corresponding reaction gas supplying parts thereby to form a thin film on the substrate; supplying plasma including a chemical component that reacts with second reaction gas adsorbed on the substrate from a plasma generation part to the substrate when the thin film is being formed, thereby to alter the thin film on the substrate; and changing plasma intensity of the plasma supplied to the substrate, at a predetermined point of time to a different plasma intensity of the plasma that is generated and supplied to the substrate by the plasma generation part before the predetermined point of time.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: September 14, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Shigenori Ozaki, Hitoshi Kato, Takeshi Kumagai
  • Patent number: 11066740
    Abstract: A system includes a computational system to receive a design of an integrated computational element (ICE) including specification of substrate and layers. Additionally, the system includes a deposition source to provide a deposition plume having a plume spatial profile, and a support having a cylindrical surface. The cylindrical surface of the support is spaced apart from the deposition source and has a shape that corresponds to the plume spatial profile in a particular cross-section orthogonal to a longitudinal axis of the cylindrical surface of the support, such that, when the substrate support, with the supported instances of the substrate distributed over the cylindrical surface of the substrate support, is translated relative to the deposition plume along the longitudinal axis of the cylindrical surface of the substrate support, thicknesses of instances of each of the deposited layers are substantially uniform across the plurality of instances of the ICE.
    Type: Grant
    Filed: June 17, 2016
    Date of Patent: July 20, 2021
    Assignee: Halliburton Energy Services, Inc.
    Inventors: David L. Perkins, Robert Paul Freese, Christopher Michael Jones, Richard Neal Gardner
  • Patent number: 11037762
    Abstract: A plasma processing apparatus includes at least one asymmetry member that causes a non-uniformity of plasma density around the high frequency electrode; and a plasma density distribution controller that is arranged depending on arrangement of the at least one asymmetry member to suppress the non-uniformity of plasma density around the high frequency electrode in the azimuthal direction. The plasma density distribution controller includes a first conductor which has first and second surfaces facing opposite directions to each other and is electrically connected with the rear surface of the high frequency electrode with respect to the first high frequency power; and a second conductor which includes a first connecting portion(s) electrically connected with a portion of the second surface of the first conductor and a second connecting portion electrically connected with a conductive grounding member electrically grounded around the high frequency electrode with respect to the first high frequency power.
    Type: Grant
    Filed: October 31, 2017
    Date of Patent: June 15, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Naohiko Okunishi, Hironobu Misawa, Hidehito Soeta
  • Patent number: 11031252
    Abstract: A chamber door, such as an etch chamber door may be heated during etch processing to, e.g., prevent etching by-products from adhering to the etch chamber door. Such heating of the etch chamber door, however, can impact the processing parameters and result in non-uniform processing, such as non-uniform etching characteristics across a semiconductor wafer, for instance. An insulator, such as an insulating film covering surfaces of the heated door, can reduce or eliminate transmission of heat from the door to a work piece such as a semiconductor wafer and this reduce or eliminate the non-uniformity of the process results.
    Type: Grant
    Filed: January 13, 2017
    Date of Patent: June 8, 2021
    Assignee: Taiwan Semiconductor Manufacturing Compant, Ltd.
    Inventors: Meng-Je Chuang, Wan-Chun Kuan, Yi-Wei Chiu, Tzu-Chan Weng
  • Patent number: 11003080
    Abstract: A method and apparatus disclosed herein apply to processing a substrate, and more specifically to a method and apparatus for improving photolithography processes. The apparatus includes a chamber body, a substrate support disposed within the chamber body, and an electrode assembly. The substrate support has a top plate disposed above the substrate support, a bottom plate disposed below the substrate support, and a plurality of electrodes connecting the top plate to the bottom plate. A voltage is applied to the plurality of electrodes to generate an electric field. Methods for exposing a photoresist layer on a substrate to an electric field are also disclosed herein.
    Type: Grant
    Filed: September 21, 2018
    Date of Patent: May 11, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Kartik Ramaswamy, Srinivas D. Nemani
  • Patent number: 11004663
    Abstract: Embodiments described herein provide an apparatus for improving deposition uniformity by improving plasma profile using a tri-cut chamber liner. The apparatus also includes a lid assembly having a split process stack for reducing downtime and a bottom heater support for more efficient heating of chamber walls.
    Type: Grant
    Filed: March 6, 2014
    Date of Patent: May 11, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Dale R. Du Bois, Amit Kumar Bansal
  • Patent number: 10998174
    Abstract: A dry etching equipment includes a topography simulator and a control section. The topography simulator controls an amount of deposition species incident upon a sidewall to be processed in accordance with a wafer opening ratio and a solid angle of a local pattern, the deposition amount being represented by a product of a reaction product flux and the solid angle. The control section compares a database obtained by the topography simulator with an actual measured value detected from an etching condition during dry etching to calculate a correction value for etching process, and indicates the correction value to an etching chamber in the dry etching equipment. The dry etching equipment corrects in real time a parameter for the etching process conducted in the etching chamber.
    Type: Grant
    Filed: December 10, 2008
    Date of Patent: May 4, 2021
    Assignee: Sony Semiconductor Solutions Corporation
    Inventors: Nobuyuki Kuboi, Tetsuya Tatsumi
  • Patent number: 10934622
    Abstract: A substrate processing apparatus includes a heat storage part on which a substrate is mounted, a tray including the heat storage part, a substrate transfer part including a rotary shaft and a rotating plate supported by the rotary shaft and being configured such that the tray can be mounted on the rotating plate, a plurality of bases arranged circumferentially around the rotary shaft; and a heater provided for each of the bases.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: March 2, 2021
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Teruo Yoshino, Naofumi Ohashi, Tadashi Takasaki, Shun Matsui
  • Patent number: 10916456
    Abstract: A substrate liquid processing apparatus includes a placing unit which places thereon a substrate; a liquid processing unit which processes the substrate by immersing the substrate in a processing liquid with a posture in which a plate surface of the substrate is perpendicular to a horizontal direction; a transfer unit which transfers the substrate between the placing unit and the liquid processing unit; and a rotating unit which rotates the substrate, after being subjected to a first processing by the liquid processing unit, around an axis perpendicular to the plate surface, and in a direction different from that when the first processing is performed. Further, the transfer unit transfers the substrate, after being subjected to the first processing, to the rotating unit and transfers the rotated substrate to the liquid processing unit. The liquid processing unit performs a second processing by immersing the rotated substrate in the processing liquid.
    Type: Grant
    Filed: November 30, 2017
    Date of Patent: February 9, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Hironobu Hyakutake
  • Patent number: 10847391
    Abstract: A transfer chamber for semiconductor device manufacturing includes (1) a plurality of sides that define a region configured to maintain a vacuum level and allow transport of substrates between processing chambers, the plurality of sides defining a first portion and a second portion of the transfer chamber and including (a) a first side that couples to two twinned processing chambers; and (b) a second side that couples to a single processing chamber; (2) a first substrate handler located in the first portion of the transfer chamber; (3) a second substrate handler located in the second portion of the transfer chamber; and (4) a hand-off location configured to allow substrates to be passed between the first portion and the second portion of the transfer chamber using the first and second substrate handlers. Method aspects are also provided.
    Type: Grant
    Filed: February 14, 2014
    Date of Patent: November 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Nir Merry, Michael Robert Rice, Sushant S. Koshti, Jeffrey C. Hudgens
  • Patent number: 10832926
    Abstract: An ion implantation apparatus, system, and method are provided for transferring a plurality of workpieces between vacuum and atmospheric pressures, wherein an alignment mechanism is operable to align a plurality of workpieces for generally simultaneous transportation to a dual-workpiece load lock chamber. The alignment mechanism comprises a characterization device, an elevator, and two vertically-aligned workpiece supports for supporting two workpieces. First and second atmospheric robots are configured to generally simultaneously transfer two workpieces at a time between load lock modules, the alignment mechanism, and a FOUP. Third and fourth vacuum robots are configured to transfer one workpiece at a time between the load lock modules and a process module.
    Type: Grant
    Filed: December 27, 2016
    Date of Patent: November 10, 2020
    Assignee: Axcelis Technologies, Inc.
    Inventors: Joseph Ferrara, Robert J. Mitchell
  • Patent number: 10822698
    Abstract: A substrate processing apparatus includes a robot arm for conveying a substrate, a conveyance chamber containing the robot arm, and an adjacent processing unit adjacent to the conveyance chamber, the adjacent processing unit processing a substrate therein. The conveyance chamber is constructed so as to be able to have a first shape for providing a predetermined space between the conveyance chamber and the adjacent processing unit, and a second shape for increasing the internal space of the conveyance chamber by making the distance between the conveyance chamber and the adjacent processing unit smaller than when the first shape is formed.
    Type: Grant
    Filed: August 31, 2015
    Date of Patent: November 3, 2020
    Assignee: ASM IP Holding B.V.
    Inventor: Teruhide Nishino
  • Patent number: 10804081
    Abstract: An edge ring configured to surround an outer periphery of a substrate support in a plasma processing chamber wherein plasma is generated and used to process a substrate is disclosed, the substrate support comprising a base plate, a top plate, an elastomer seal assembly between the base plate and the top plate, and an elastomer seal configured to surround the elastomer seal assembly. The edge ring includes an upper inner surface having an edge step directed towards an interior portion of the edge ring and arranged to extend from an outer periphery of a top surface of the top plate to an outer periphery of an upper surface of the base plate, a lower inner surface, an outer surface, a lower surface extending from the lower inner surface to the outer surface, and a top surface extending from the outer surface to the upper inner surface.
    Type: Grant
    Filed: December 20, 2013
    Date of Patent: October 13, 2020
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Ambarish Chhatre, David Schaefer, Keith Gaff
  • Patent number: 10793949
    Abstract: The present disclosure relates to a substrate processing apparatus and a substrate processing method using the same, and more particularly, to a substrate processing apparatus that is capable of improving a flow of a process gas that is participated in a substrate processing process and a substrate processing method using the same.
    Type: Grant
    Filed: October 10, 2016
    Date of Patent: October 6, 2020
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Jun Jin Hyon, Sung Tae Je, Byoung Gyu Song, Yong Ki Kim, Kyong Hun Kim, Chang Dol Kim, Yang Sik Shin, Jae Woo Kim
  • Patent number: 10767261
    Abstract: The invention relates to a device for vacuum coating substrates in a vacuum chamber, comprising an elongated evaporator array having a plurality of evaporator elements arranged along a longitudinal axis and a first substrate carrier unit which is associated with the evaporator array and has a first pylon that can be rotated about a first axis and contains retaining means for substrates, wherein an angular offset of less than 10° is present between the longitudinal axis and the first rotational axis. The device is characterised in that at least one second substrate carrier unit is provided, which is associated with the evaporator array and has a second pylon that can be rotated about a second axis and contains retaining means for substrates, wherein an angular offset of less than 10° is present between the longitudinal axis and the second rotational axis.
    Type: Grant
    Filed: July 22, 2011
    Date of Patent: September 8, 2020
    Assignee: Leybold Optics GmbH
    Inventors: Torsten Schmauder, Gunter Kern
  • Patent number: 10741367
    Abstract: A method of processing a substrate is provided. The method includes loading a substrate in a processing chamber. The substrate is supported on a bottom electrode and the processing chamber includes a top electrode opposing the bottom electrode. The method includes placing a plasma containment structure over a selected portion of the surface of the substrate to define a plasma containment region of the selected portion of the surface of the substrate. Then, injecting at least one process gas into the plasma containment region and biasing the top electrode and the bottom electrode. The method further includes exhausting process byproducts from the plasma containment region and moving the plasma containment region relative to the substrate to selectively passes over the entire surface of the substrate.
    Type: Grant
    Filed: November 3, 2015
    Date of Patent: August 11, 2020
    Assignee: Lam Research Corporation
    Inventor: Eric Hudson
  • Patent number: 10707106
    Abstract: A wafer-processing apparatus includes: multiple discrete units of reactors disposed on the same plane; a wafer-handling chamber having a polygonal shape having multiple sides corresponding to and being attached to the multiple discrete units, respectively, and one additional side for a load lock chamber; a load lock chamber attached to the one additional side of the wafer-handling chamber; multiple discrete gas boxes for controlling gases corresponding to and being connected to the multiple discrete units, respectively; and multiple discrete electric boxes for controlling electric systems corresponding to and being detachably connected to the multiple discrete units, respectively, wherein the gas boxes and the electric boxes are arranged alternately as viewed from above under the multiple discrete units, and the electric boxes can be pulled out outwardly without being disconnected from the corresponding units so that sides of the gas boxes are accessible.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: July 7, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Yukihiro Mori, Takayuki Yamagishi
  • Patent number: 10685814
    Abstract: A system for processing substrates having an atmospheric front end and a vacuum main frame, primary processing chambers attached to the main frame, a loadlock positioned between the front end and the main frame, and at least one secondary processing chamber attached to the loadlock.
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: June 16, 2020
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT INC. CHINA
    Inventors: Heng Tao, Tuqiang Ni, Qian Wang
  • Patent number: 10669630
    Abstract: A layer-forming device includes a feeding mechanism that feeds a substrate during layer formation, an injector unit having a plurality of injectors that supplies a layer-forming gas to the substrate, along a feeding passage of the substrate, and a reactant supply unit which generates a reactant. The injector unit supplies the reactant through gaps between the injectors to a layer of the layer-forming component. A substrate opposing surface of the injector includes a layer-forming gas supply slot through which the layer-forming gas is output, first gas exhaust slots that suck an excess gas such as the layer-forming gas, the first gas exhaust slots being provided on both sides of the layer-forming gas supply slot in a feeding direction of the substrate, and inert gas supply slots that supply an inert gas provided on far sides of the respective first gas exhaust slots away from the layer-forming gas supply slot.
    Type: Grant
    Filed: February 21, 2014
    Date of Patent: June 2, 2020
    Assignee: MITSUI E&S MACHINERY CO., LTD.
    Inventors: Nozomu Hattori, Naomasa Miyatake, Yasunari Mori