Patents Examined by Rashid Alam
  • Patent number: 7910265
    Abstract: A reticle for use in a semiconductor lithographic system includes at least two separated reticle parts. Each part includes a pattern to be transferred lithographically to a substrate. At least one of the two separated reticle parts is independently replaceable.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: March 22, 2011
    Assignee: Infineon Technologies AG
    Inventors: Vlad Temchenko, Jens Schneider
  • Patent number: 7906257
    Abstract: A photomask manufacturing method. A pattern dimensional map is generated by preparing a photomask in which a reflective layer formed on a substrate and an absorber pattern is formed on the layer. A reflection correction coefficient map is generated by dividing a mask region, where the absorber pattern is formed, into a plurality of subregions, and determining a reflection correction coefficient for each subregion. The reflection correction value of each subregion is calculated based on the dimensional difference indicated in the pattern dimensional map and the reflection correction coefficient of each subregion. A reflection coefficient of each reflective layer region corresponding to each subregion is changed based on the reflection correction value.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: March 15, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 7906005
    Abstract: Disclosed is a process for manufacturing bleach (or sodium hypochlorite) and caustic potash (or KOH) without the need for shipping or storing chlorine gas. Specifically, the present invention relates to the manufacture of potassium hydroxide and chlorine gas, through several process options, for the manufacture of sodium hypochlorite (or bleach), hydrochloric acid (HCl) and/or other chlorinated compounds. The disclosed process allows operating flexibility based on chlorine demand, reduces capital costs and eliminates the need for the transportation and storage of chlorine gas.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: March 15, 2011
    Inventor: Frank G. Hubbard
  • Patent number: 7906253
    Abstract: The present disclosure is directed to a method for preparing photomask patterns for a lithography process that employs a plurality of photomasks. The method comprises receiving data describing a drawn pattern. An edge of the drawn pattern is identified that can be defined using a first photomask and a second photomask, and the first photomask is chosen for patterning the edge. Patterns are formed for the first photomask and the second photomask, wherein the first photomask pattern is formed to pattern the edge, and the second photomask pattern is formed to have a wing adjacent to the edge for protecting the edge from double patterning. A process for patterning an integrated circuit device is also disclosed.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: March 15, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Thomas J. Aton, Carl A. Vickery
  • Patent number: 7906252
    Abstract: A PSM blank and method for forming a PSM using the PSM blank, the PSM blank including a light transmitting portion; an uppermost anti-reflection portion; a photosensitive layer stack on the anti-reflection portion comprising at least two photosensitive layers; wherein each photosensitive layer has a lower radiant energy exposure sensitivity compared to an underlying layer.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: March 15, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsin-Chang Lee, Chia-Jen Chen, Hong-Chang Hsieh, Lee-Chih Yeh
  • Patent number: 7901849
    Abstract: A photomask comprises: a light transmitting substrate; patterns disposed over the light transmitting substrate to define a light transmitting region; and a light transmittance control layer disposed between the light transmitting substrate and the patterns having a relatively high light transmittance in a first control layer region overlapping a first portion of the light transmitting region adjacent to a poor pattern having a size larger than a normal size than in a second control layer region overlapping a second portion of the light transmitting region between normal patterns having a normal size.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: March 8, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae Joong Ha
  • Patent number: 7901842
    Abstract: It is provided a photomask blank that has good flatness when a light-shielding film is patterned and hence can provide a good mask pattern accuracy and a good pattern transfer accuracy, and a method of producing a photomask. A photomask blank of the present invention includes a light-shielding film containing at least chromium on a light-transmitting substrate. The light-shielding film is formed so as to cause a desired film stress in the direction opposite to that of a change in the film stress that is anticipated to be caused in the light-shielding film by heat treatment according to a resist film formed on the light-shielding film. A photomask is produced by patterning the light-shielding film of the photomask blank by dry etching.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: March 8, 2011
    Assignee: Hoya Corporation
    Inventors: Takeyuki Yamada, Yasushi Okubo, Masao Ushida, Hiroyuki Iwashita
  • Patent number: 7901843
    Abstract: There is provided a process for smoothing a substrate surface having a concave defect, such as a pit or a scratch. A process for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography, comprising disposing a thin film on a glass substrate; detecting a concave defect existing on the glass substrate; and locally heating or locally anodizing a portion of the thin film just above the detected concave defect to perform a chemical reaction accompanied by a volume increase in a material forming the thin film.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: March 8, 2011
    Assignee: Asahi Glass Company, Limited
    Inventors: Takashi Sugiyama, Yoshiaki Ikuta, Masabumi Ito
  • Patent number: 7897299
    Abstract: In an attenuated phase-shift mask (PSM) and a method of forming the same, a phase-shift layer and a light-shielding layer are sequentially stacked on a transparent substrate. The phase-shift layer and the light-shielding layer are sequentially removed from the substrate, to form a light-shielding pattern including a first opening and a phase-shift pattern including a second opening that is connected to the first opening and partially exposes the transparent substrate. Then, a transmitting portion is formed through the light-shielding pattern by partially removing the light-shielding pattern. The transmitting portion includes at least one portion of the phase-shift pattern on which a transmittance controller is formed. In one embodiment, the transmittance controller comprises a metal having a high absorption coefficient, and is formed through sputtering and diffusion processes.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: March 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gi-Sung Yoon, Hee-Bom Kim, Sun-Young Choi
  • Patent number: 7897301
    Abstract: A technique for forming fine patterns by a simple method. A fine pattern forming method includes a step of forming a heat-resist film on a substrate, applying energy to regions of the resist film to form a fine pattern, and thereafter developing the resist film. The material used to form the resist film is a metal oxide of a composition that differs from a stoichiometric composition by lacking a small amount of oxygen, the energy is applied to the resist film using pressure, and the resist film is developed using an alkaline developer.
    Type: Grant
    Filed: June 12, 2008
    Date of Patent: March 1, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kyosuke Deguchi
  • Patent number: 7897298
    Abstract: A mask pattern including a light-shielding portion 101 and a semi-light-shielding portion 102 is provided on a transparent substrate 100 having a transparent property against exposing light so as to be surrounded with a transparent portion 104. The semi-light-shielding portion 102 is disposed in an outer region of the mask pattern and partially transmits the exposing light in an identical phase to the exposing light passing through the transparent portion 104.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: March 1, 2011
    Assignee: Panasonic Corporation
    Inventor: Akio Misaka
  • Patent number: 7892703
    Abstract: A method of generating a mask for printing a pattern including a plurality of features. The method includes the steps of obtaining data representing the plurality of features; and forming at least one of the plurality of features by etching a substrate to form a mesa and depositing a chrome layer over the entire upper surface of the mesa, where said mesa has a predetermined height.
    Type: Grant
    Filed: August 10, 2006
    Date of Patent: February 22, 2011
    Assignee: ASML Masktools B.V.
    Inventors: Jang Fung Chen, Duan-Fu Stephen Hsu, Douglas Van Den Broeke, Jung Chul Park, Thomas Laidig
  • Patent number: 7892705
    Abstract: The disclosure is related to photomasks used in photolithography and methods of making photomasks. The method involves providing a transparent substrate with one or more reflective films disposed over a surface of the substrate, applying a photoresist to the solution-contacted reflective film and forming a pattern in the photoresist that is transferred to the substrate, and developing the pattern on the substrate by removing the remaining portions of the photoresist. The substrate carrying the patterned reflective film is then contacted with a solution comprising oxyanions. The disclosure is also related to photomasks made using the disclosed method.
    Type: Grant
    Filed: October 2, 2007
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sean D. Burns, Michael M. Crouse, Dario L. Goldfarb
  • Patent number: 7892722
    Abstract: A pattern forming method includes (a) a step of forming a resist film on a substrate, (b) a pre-wet step of spreading a pre-wet solution on the resist film and after a fixed time, removing the pre-wet solution, and (c) a step of subjecting the resist film on the substrate to exposure through an immersion liquid.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: February 22, 2011
    Assignee: Fujifilm Corporation
    Inventors: Haruki Inabe, Shinichi Kanna, Hiromi Kanda
  • Patent number: 7887977
    Abstract: A mask formed with a mask pattern is prepared, the mask pattern having a shape that a base pattern is divided into at least two partial patterns disposed at a space narrower than a resolution limit. A first relation is acquired between a width of the space separating the partial patterns and a size of a pattern on a substrate formed by transferring the mask pattern. The width of the space separating the partial patterns is determined in accordance with the size of a pattern to be formed on the substrate and the first relation. A mask pattern is formed having at least two separated partial patterns on a mask in accordance with the determined width of the space.
    Type: Grant
    Filed: February 11, 2008
    Date of Patent: February 15, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Fumitoshi Sugimoto
  • Patent number: 7879510
    Abstract: A method for etching quartz is provided herein. In one embodiment, a method of etching quartz includes providing a filmstack in an etching chamber, the filmstack having a quartz layer partially exposed through a patterned layer, providing at least one fluorocarbon process gas to a processing chamber, biasing a quartz layer disposed on a substrate support in the processing chamber with a plurality of power pulses less than 600 Watts and etching the quartz layer through a patterned mask. The method for etching quartz described herein is particularly suitable for fabricating photomasks having etched quartz portions.
    Type: Grant
    Filed: January 8, 2005
    Date of Patent: February 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Scott Alan Anderson, Ajay Kumar
  • Patent number: 7862698
    Abstract: A device and method for preparing an electrochemical sensor may enable the sensor head thereof to be provided with an electrolyte and a membrane. The device may include a retaining means for the sensor in addition to means for depositing the electrolyte and means for depositing the membrane.
    Type: Grant
    Filed: August 6, 2002
    Date of Patent: January 4, 2011
    Assignee: Sentec AG
    Inventors: Paul Haener, Joseph Lang
  • Patent number: 7862964
    Abstract: The invention includes methods for photo-processing photo-imageable material. Locations of the photo-imageable material where flare hot spots are expected to occur are ascertained. A substantially uniform dose of light intensity is provided to at least the majority of the photo-imageable material other than the hot spot locations, and is not provided to the hot spot locations. The provision of the substantially uniform dose of light intensity can occur during formation of a primary pattern in the photo-imageable material with a reticle, utilizing the same reticle as that used for making the primary pattern; or can occur at a separate processing stage than that utilized for forming the primary pattern and with a separate reticle from that utilized to form the primary pattern. The invention also includes reticle constructions which can be utilized for photo-processing of photo-imageable material.
    Type: Grant
    Filed: June 22, 2010
    Date of Patent: January 4, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Pary Baluswamy
  • Patent number: 7858270
    Abstract: A method of dry developing a multi-layer mask on a substrate is described. The method comprises forming the multi-layer mask on the substrate, wherein the multi-layer mask comprises a lithographic layer overlying a second mask layer. A feature pattern is then formed in the lithographic layer using a lithographic process, wherein the feature pattern comprises a first critical dimension (CD). Thereafter, the feature pattern is transferred from the lithographic layer to the second mask layer using a dry plasma etching process, wherein the dry plasma etching process comprises introducing a process gas, forming plasma from the process gas, and exposing the substrate to the plasma. During the pattern transfer, the first CD in the lithographic layer is reduced to a second CD in the silicon-containing layer.
    Type: Grant
    Filed: May 21, 2007
    Date of Patent: December 28, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Harlan Stamper
  • Patent number: 7855033
    Abstract: A photo-mask used for fabricating a photoresist pattern in process of fabricating an array substrate for a liquid crystal display device comprises a transmissive area having a first transmittance; a blocking area having a second transmittance; a first half-transmissive area including at least one coating layer and having a third transmittance; a second half-transmissive area including a plurality of bars and having a fourth transmittance, the bars having spaces therebetween, wherein the third and fourth transmittances are less than the first transmittance and greater than the second transmittance, respectively, and the third transmittance is greater than the fourth transmittance.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: December 21, 2010
    Assignee: LG Display Co., Ltd.
    Inventor: Hee-Young Kwack