Patents Examined by S. Rosasco
  • Patent number: 7276317
    Abstract: A laser mask and method of crystallization using the same that can produce a polycrystalline silicon thin film having uniform crystallization characteristics. According to the present invention, a method of crystallization using a laser mask having a reference pattern in a first block and the reverse pattern of the reference pattern in a second block includes providing a substrate having a silicon thin film; positioning the first block of the laser mask over a portion of the silicon film and irradiating a first laser beam through the first block; and moving either the laser mask or the substrate to position the second block of the laser mask over the portion of the silicon film and irradiating a second laser beam through the second block.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: October 2, 2007
    Assignee: LG.Philips LCD Co., Ltd.
    Inventor: JaeSung You
  • Patent number: 7267912
    Abstract: An exposure mask which is capable of reducing non-uniformity in a display such as a liquid crystal display device. A first mask pattern having pattern-forming portions and shield portions mosaically arranged therein is formed in one end portion of an exposure mask, and a second mask pattern having pattern-forming portions and shield portions arranged in a manner complementary to the first mask pattern is formed at the other end portion of the exposure mask. The exposure mask is formed such that areas between vertically or laterally adjacent ones of the shield portions, in mosaic areas where pattern-forming portions and the shield portions are mosaically arranged, are also shielded.
    Type: Grant
    Filed: March 8, 2004
    Date of Patent: September 11, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Tetsuya Fujikawa, Yoshinori Tanaka
  • Patent number: 7264905
    Abstract: A shading area having a transmissivity in the range of 0 to 2% is formed at the center of a clear defect in a wiring pattern of a half tone mask. Semitransparent areas having a transmissivity in the range of 10 to 25% are formed, adjacently to shading area, in areas extending from the inside of the edge of an imaginary pattern having no defect to the outside of the edge. In this way, in the correction of the defect in the half tone mask, the working accuracy tolerable margin of the correction portion of the defect can be made large.
    Type: Grant
    Filed: November 17, 2003
    Date of Patent: September 4, 2007
    Assignees: Renesas Technology Corp., Toppan Printing Co., Ltd.
    Inventors: Yoshikazu Nagamura, Kouji Tange, Kouki Hayashi, Hidehiro Ikeda
  • Patent number: 7264907
    Abstract: Each of patterns on two types of photomasks, including identical central pattern portions, each having a line pattern on the center of a substrate, and peripheral pattern portions around the central pattern portions, and having distances between the central pattern portion and the peripheral pattern portion different from each other, is transferred onto a wafer. Thereafter, each line width of the transferred patterns corresponding to the line pattern of each photomask is measured. The difference between each of line widths is obtained, from which the flare rate is calculated.
    Type: Grant
    Filed: March 17, 2004
    Date of Patent: September 4, 2007
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Kunio Watanabe
  • Patent number: 7264908
    Abstract: There is disclosed a photo mask blank comprising at least a light-shielding film containing Cr and one or more layers of an anti-reflection film disposed on a substrate, wherein at least one layer of the anti-reflection film contains any one selected from the group consisting of silicon oxide, silicon nitride and silicon oxynitride. And there is also disclosed a photo mask blank comprising at least a light-shielding film containing Cr and two or more layers of an anti-reflection film disposed on a substrate, wherein the anti-reflection film comprises at least a layer of film with high transmittance at exposure wavelength, and a layer of film with lower transmittance at exposure wavelength than that of the layer and higher transmittance at inspection wavelength than at that exposure wavelength. Thus, there can be provided a photo mask blank having an anti-reflection film that can sufficiently reduce reflectance even if the exposure wavelength is short.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: September 4, 2007
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Hideo Kaneko, Tetsushi Tsukamoto
  • Patent number: 7264415
    Abstract: Methods for fabricating alternating phase shift masks or reticles used in semiconductor optical lithography systems. The methods generally include forming a layer of phase shift mask material on a handle substrate and patterning the layer to define recessed phase shift windows. The patterned layer is transferred from the handle wafer to a mask blank. The depth of the phase shift windows is determined by the thickness of the layer of phase shift mask material and is independent of the patterning process. In particular, the depth of the phase shift windows is not dependent upon the etch rate uniformity of an etch process across a surface of a mask blank.
    Type: Grant
    Filed: March 11, 2004
    Date of Patent: September 4, 2007
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, Mark Charles Hakey, Steven John Holmes, David Vaclav Horak, Charles William Koburger, III, Peter H. Mitchell, Larry Alan Nesbit
  • Patent number: 7264906
    Abstract: A method and system of optimizing the illumination of a mask in a photolithography process. A specific, preferred method includes the steps of: loading minimum design rules of a layout, loading exposure latitude constraints, loading mask error constraints, loading initial illumination conditions, simulating current illumination conditions, obtaining dose-to-print threshold from the minimum design rules (i.e., lines-and-space feature), applying OPC on the layout using the dose-to-print threshold, calculating DOF using the exposure latitude and mask error constraints, changing the illumination conditions in order to attempt to maximize common DOF with the exposure latitude and mask error constraints, and continuing the process until maximum common DOF is obtained.
    Type: Grant
    Filed: March 5, 2004
    Date of Patent: September 4, 2007
    Assignee: LSI Corporation
    Inventors: Ebo H. Croffie, Nicholas K. Eib, Mario Garza, Paul Filseth, Lav D. Ivanovic
  • Patent number: 7261982
    Abstract: The present application relates to a method of fabricating planar circuits using a photo lithographic mask set, to the photo lithographic mask set, and to a planar circuit fabricated with the photo lithographic mask set. The instant invention involves separating a photo lithographic mask into two parts, namely, a master mask and one or more slave masks. The master mask and the one or more slave masks form a photo lithographic mask set that is used iteratively to fabricate the planar circuits. In particular, the master mask is used as a template to provide the general layout for the planar circuit, while each slave mask is varied to tune and/or tailor the planar circuit. Since only a small portion of the planar circuit is redesigned and/or rewritten as a new mask (i.e., the slave mask), the instant invention provides a simple and cost effective method for optimizing planar circuits.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: August 28, 2007
    Assignee: JDS Uniphase Corporation
    Inventors: Barthelemy Fondeur, Anca L. Sala, Robert J. Brainard, David K. Nakamoto, Tom Truong, Sanjay M. Thekdi, Anantharaman Vaidyanathan
  • Patent number: 7261981
    Abstract: A method is disclosed for providing associated shapes of an optical lithography mask in relation to predetermined main shapes of the mask. The method includes generating simplified layout patterns from the predetermined main shapes of the mask. Such layout patterns are generated by eliminating detail of the main shapes which leads to unmanufacturable associated shapes while preserving geometrically relevant shape information. The associated shapes are then generated relative to the simplified mask patterns.
    Type: Grant
    Filed: January 12, 2004
    Date of Patent: August 28, 2007
    Assignee: International Business Machines Corporation
    Inventors: Mark A. Lavin, Lars W. Liebmann, Scott M. Mansfield, Maharaj Mukherjee, Zengqin Zhao
  • Patent number: 7261980
    Abstract: An x-ray mask blank and an x-ray mask wherein, assuming that Ramax and Ramin are defined as a maximum value of Ra and a minimum value of Ra of a surface roughness (Ra: center-line average roughness) on a plurality of points within a predetermined area on an x-ray membrane 12, respectively, the surface of the x-ray membrane 12 has a surface condition so that it may satisfy an expression: (Ramax?Ramin)/(Ramax+Ramin)?0.15.
    Type: Grant
    Filed: March 31, 1998
    Date of Patent: August 28, 2007
    Assignee: Hoya Corporation
    Inventor: Tsutomu Shoki
  • Patent number: 7252911
    Abstract: An ESD-resistant photomask and method of preventing mask ESD damage is disclosed. The ESD-resistant photomask includes a mask substrate, a pattern-forming material provided on the substrate, a circuit pattern defined by exposure regions etched in the pattern-forming material, and positive or negative ions implanted into the mask substrate throughout ion implantation regions. The ions in the ion implantation regions dissipate electrostatic charges on the mask, thus preventing the buildup of electrostatic charges which could otherwise attract image-distorting particles to the mask or damage the mask.
    Type: Grant
    Filed: March 26, 2004
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Ching-Yu Chang
  • Patent number: 7252910
    Abstract: A mask fabrication time is shortened. By patterning an electron-sensitive resist film coated on a main surface of a mask substrate, a pellicle is mounted on the main surface of the mask substrate immediately after a resist pattern made from an electron beam sensitive resist film and having light-shielding characteristics with respect to exposure light is formed. Subsequently, by irradiating a laser beam to defect made from the electron beam sensitive resist film with the pellicle being mounted on the mask substrate, the defect is removed. Since the defect can be removed without removing the pellicle, the mask fabrication time can be shortened.
    Type: Grant
    Filed: January 23, 2004
    Date of Patent: August 7, 2007
    Assignees: Renesas Technology Corp., Dai Nippon Printing Co., Ltd.
    Inventors: Norio Hasegawa, Katsuya Hayano, Shinji Kubo, Yasuhiro Koizumi, Yasushi Kawai
  • Patent number: 7252909
    Abstract: A method is provided for reducing Critical Dimension (CD) non-uniformity in creating a patterned layer of semiconductor material. Two masking layers are respectively created, the first masking layer comprising a main pattern, an isolated pattern and a dummy pattern, the second masking layer exposing the dummy pattern. Methods of compensating for optical proximity effects and micro-loading, as provided by the invention, are applied in creating the first masking layer. The patterned first masking layer is transposed to an underlying layer creating a first pattern therein. The second masking layer removes the dummy features from the transposed first pattern, creating a second pattern therein comprising a main pattern and an isolated pattern to which compensation for optical proximity effects and micro-loading have been applied. The second pattern serves for additional etching of underlying semiconductor material.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Jaw-Jung Shin, Chih-Ming Ke, Burn-Jeng Lin
  • Patent number: 7247410
    Abstract: A complementary division method able to suppress a pattern deformation by wet washing, having the steps of determining a definite division length able to suppress the pattern deformation when wet washing to a width and distance of a pattern that is assumed the pattern deformation over an elasticity limit is easiest given by wet washing in advance, dividing the entire line-and-space patterns at the determined division length in the longitudinal direction to divide suitably the line-and-space pattern by a simple algorithm, and further providing a method of producing a mask and program.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: July 24, 2007
    Assignee: Sony Corporation
    Inventors: Yoko Watanabe, Shinji Omori
  • Patent number: 7244334
    Abstract: The invention relates to a method of improving control over the dimensions of a patterned photoresist, which enables better control of the critical dimensions of a photomask or reticle which is fabricated using the patterned photoresist. In addition, the method may be used to enable improved control over the dimensions of a semiconductor device fabricated using a patterned photoresist. In particular, a patterned photoresist is treated with an etchant plasma to reshape the surface of the patterned photoresist, where reshaping includes the removal of “t”-topping at the upper surface of the patterned resist, the removal of standing waves present on patterned surfaces, and the removal of feet which may be present at the base of the patterned photoresist, where the photoresist contacts an underlying layer such as an ARC layer.
    Type: Grant
    Filed: July 15, 2005
    Date of Patent: July 17, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Alex Buxbaum, Melvin W. Montgomery
  • Patent number: 7241538
    Abstract: Critically representative features (CRF's) for use in mask-making verification and/or resist development verification are defined and/or copied into the in-scribe area used by wafer CD features. The placement of mask-CRF's in the wafer CD bar region eliminates the problem of correctly and quickly locating mask-CRF's at different positions in the in-die areas of a manufactured mask. On-wafer counterparts of the mask-CRF's may be used for fine-tuning lithography and patterning processes.
    Type: Grant
    Filed: November 5, 2003
    Date of Patent: July 10, 2007
    Assignee: ProMOS Technologies
    Inventors: Feng-Hong Zhang, Limin (Eric) Lou
  • Patent number: 7241539
    Abstract: A photomask for patterning an integrated circuit device using a patterning radiation may include a transparent substrate, a pattern of radiation blocking regions, an array of radiation blocking regions, and an array of shadowing elements. The transparent substrate may have first and second opposing surfaces, and the pattern of radiation blocking regions may be on at least one of the first and/or second surfaces of the transparent substrate. Moreover, the pattern of radiation blocking regions may define a pattern to be transferred to the integrated circuit substrate. The array of shadowing elements may be provided within the transparent substrate between the first and second opposing surfaces wherein a shadowing element of the array has a light transmittance characteristic different than that of an adjacent portion of the transparent substrate.
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: July 10, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: SoonHo Kim, Seongyong Moon, Jinhong Park, Seongwoon Choi
  • Patent number: 7232630
    Abstract: When substantially all features in a layout for a layer of material in an integrated circuit (IC) are defined using a phase shifting mask, the related complementary mask that is normally used to define the remaining features and edges can be improved if intensities in an aerial image from openings on the complementary mask that are below threshold are increased to ensure that each opening meets or exceeds threshold. Such increase of intensities improves effectiveness of critical openings that are otherwise too small to print. Absent intensity increase, such openings could limit the application of optical lithography using phase shifting masks to ever shrinking technologies. The intensities are increased in some embodiments by enlarging some openings in the complementary mask in directions not constrained by features to be formed in an integrated circuit (by use of the phase shifting mask).
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: June 19, 2007
    Assignee: Synopsys, Inc
    Inventor: Armen Kroyan
  • Patent number: 7232629
    Abstract: A surface of a mask substrate is divided into a main field region and a blank periphery region surrounding the main field region. A first pattern, at least one second pattern and at least one third pattern are formed within the main field region to form a phase shift mask (PSM). By using the PSM, a pattern transferring process is performed to transfer the first pattern, the second pattern and the third pattern to a semiconductor wafer. Finally, by using the second and third patterns transferred to the semiconductor wafer, a PSM test is performed.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: June 19, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Lin-Hsin Tu, Kun-Rung Lin
  • Patent number: 7229722
    Abstract: A method of designing an alternating phase shifting mask for projecting an image of an integrated circuit design having a plurality of essentially parallel segments of critical width comprises creating essentially parallel alternating phase shifting regions aligned with the critical width segments and extending beyond ends of at least some of the critical width segments, enclosing the integrated circuit layout and the alternating phase shifting regions within a boundary, extending the alternating phase shifting regions to an edge of the boundary, and thereafter creating an alternating phase shifting mask based on the alternating phase shifting regions.
    Type: Grant
    Filed: January 28, 2004
    Date of Patent: June 12, 2007
    Assignee: International Business Machines Corporation
    Inventors: Lars W. Liebmann, Zachary Baum