Patents Examined by Wilner Jean Baptiste
  • Patent number: 11721670
    Abstract: A second semiconductor switching element is connected in series with a first semiconductor switching element, and is at least partially stacked on the first semiconductor switching element in the thickness direction. A first control element controls the first semiconductor switching element and the second semiconductor switching element, and performs an overcurrent protection operation with reference to a shunt voltage. The first control element is arranged outside the first semiconductor switching element and the second semiconductor switching element in the in-plane direction.
    Type: Grant
    Filed: March 17, 2021
    Date of Patent: August 8, 2023
    Assignee: Mitsubishi Electric Corporation
    Inventors: Takashi Tsubakidani, Kosuke Yamaguchi
  • Patent number: 11715647
    Abstract: A method includes forming a first electrically conductive layer on a first side of a dielectric insulation layer, forming a structured mask layer on a side of the first electrically conductive layer that faces away from the dielectric insulation layer, forming at least one trench in the first electrically conductive layer, said at least one trench extending through the entire first electrically conductive layer to the dielectric insulation layer, forming a coating which covers at least the bottom and the side walls of the at least one trench, and removing the mask layer after the coating has been formed.
    Type: Grant
    Filed: June 9, 2021
    Date of Patent: August 1, 2023
    Assignee: Infineon Technologies AG
    Inventors: Fabian Craes, Carsten Ehlers, Olaf Hohlfeld, Ulrich Wilke
  • Patent number: 11715723
    Abstract: A package structure and method of manufacturing is provided, whereby a bonding dielectric material layer is provided at a back side of a wafer, a bonding dielectric material layer is provided at a front side of an adjoining wafer, and wherein the bonding dielectric material layers are fusion bonded to each other.
    Type: Grant
    Filed: February 26, 2021
    Date of Patent: August 1, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ming-Fa Chen, Chao-Wen Shih, Sung-Feng Yeh
  • Patent number: 11715710
    Abstract: A method of treatment of an electronic circuit including at a location at least one electrically-conductive test pad having a first exposed surface. The method includes the at least partial etching of the test pad from the first surface, and the forming on the electronic circuit of an interconnection level covering said location and including, on the side opposite to said location, a second planar surface adapted for the performing of a hybrid molecular bonding.
    Type: Grant
    Filed: May 14, 2021
    Date of Patent: August 1, 2023
    Assignee: Commissariat à l'Énergie Atomique et aux Énergies Alternatives
    Inventors: Emilie Bourjot, Amandine Jouve, Frank Fournel, Christophe Dubarry
  • Patent number: 11710691
    Abstract: A semiconductor device has a first semiconductor die and second semiconductor die with a conductive layer formed over the first semiconductor die and second semiconductor die. The second semiconductor die is disposed adjacent to the first semiconductor die with a side surface and the conductive layer of the first semiconductor die contacting a side surface and the conductive layer of the second semiconductor die. An interconnect, such as a conductive material, is formed across a junction between the conductive layers of the first and second semiconductor die. The conductive layer may extend down the side surface of the first semiconductor die and further down the side surface of the second semiconductor die. An extension of the side surface of the first semiconductor die can interlock with a recess of the side surface of the second semiconductor die. The conductive layer extends over the extension and into the recess.
    Type: Grant
    Filed: October 28, 2020
    Date of Patent: July 25, 2023
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventors: Francis J. Carney, Jefferson W. Hall, Michael J. Seddon
  • Patent number: 11710693
    Abstract: Semiconductor packages may include a molded interposer and semiconductor dice mounted on the molded interposer. The molded interposer may include two redistribution layer structures on opposite sides of a molding compound. Electrically conductive vias may connect the RDL structures through the molding compound, and passive devices may be embedded in the molding compound and electrically connected to one of the RDL structures. Each of the semiconductor dice may be electrically connected to, and have a footprint covering, a corresponding one of the passive devices to form a face-to-face connection between each of the semiconductor dice and the corresponding one of the passive devices.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: July 25, 2023
    Assignee: Micron Technology, Inc.
    Inventor: Shing-Yih Shih
  • Patent number: 11705445
    Abstract: In a semiconductor device manufacturing method, a stacked substrate is formed. In the stacked substrate, a substrate is stacked repeatedly multiple times. The substrate includes a plurality of chip regions. In the semiconductor device manufacturing method, the stacked substrate is cut in a stacking direction among the plurality of chip regions, to separate the stacked substrate into a plurality of stacked bodies. In forming the stacked substrate, a first main surface of a first substrate and a second main surface of a second substrate are bonded to each other. In forming the stacked substrate, in a state where the second main surface is bonded to the first main surface, a third main surface of the second substrate opposite to the second main surface is thinned. In forming the stacked substrate, the third main surface of the second substrate and a fourth main surface of a third substrate are bonded to each other.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: July 18, 2023
    Assignee: KIOXIA CORPORATION
    Inventor: Hideo Numata
  • Patent number: 11700730
    Abstract: Some embodiments include a memory device and methods of forming the memory device. One such memory device includes a first group of memory cells, each of the memory cells of the first group being formed in a cavity of a first control gate located in one device level of the memory device. The memory device also includes a second group of memory cells, each of the memory cells of the second group being formed in a cavity of a second control gate located in another device level of the memory device. Additional apparatus and methods are described.
    Type: Grant
    Filed: December 21, 2020
    Date of Patent: July 11, 2023
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, John K. Zahurak
  • Patent number: 11699681
    Abstract: An apparatus is formed. The apparatus includes a stack of semiconductor chips. The stack of semiconductor chips includes a logic chip and a memory stack, wherein, the logic chip includes at least one of a GPU and CPU. The apparatus also includes a semiconductor chip substrate. The stack of semiconductor chips are mounted on the semiconductor chip substrate. At least one other logic chip is mounted on the semiconductor chip substrate. The semiconductor chip substrate includes wiring to interconnect the stack of semiconductor chips to the at least one other logic chip.
    Type: Grant
    Filed: December 26, 2019
    Date of Patent: July 11, 2023
    Assignee: Intel Corporation
    Inventors: Abhishek Sharma, Hui Jae Yoo, Van H. Le, Huseyin Ekin Sumbul, Phil Knag, Gregory K. Chen, Ram Krishnamurthy
  • Patent number: 11699629
    Abstract: The disclosure is directed to an integrated circuit (IC) die stacked with a backer die, including capacitors and thermal vias. The backer die includes a substrate material to contain and electrically insulate one or more capacitors at a back of the IC die. The backer die further includes a thermal material that is more thermally conductive than the substrate material for thermal spreading and increased heat dissipation. In particular, the backer die electrically couples capacitors to the IC die in a stacked configuration while also spreading and dissipating heat from the IC die. Such a configuration reduces an overall footprint of the electronic device, resulting in decreased integrated circuits (IC) packages and module sizes. In other words, instead of placing the capacitors next to the IC die, the capacitors are stacked on top of the IC die, thereby reducing an overall surface area of the package.
    Type: Grant
    Filed: March 26, 2021
    Date of Patent: July 11, 2023
    Assignee: Qorvo US, Inc.
    Inventors: Anthony Chiu, Bror Peterson, Andrew Ketterson
  • Patent number: 11688662
    Abstract: Semiconductor devices and associated systems and methods are disclosed herein. In some embodiments the semiconductor devices include a package substrate, a controller die carried by the package substrate and a spacer carried by the package substrate spaced apart from the controller die. A thermally conductive material can be carried by an upper surface of the controller die and establish a thermal path extending from the upper surface of the controller die to the package substrate. The thermal path can reach the package substrate at a position horizontally between the controller die and the spacer. The semiconductor device can also include one or more dies at least partially carried by the spacer and at least partially above the controller die and the thermally conductive material. Each of the one or more dies is thermally insulated from the thermally conductive material, for example by a thermal adhesive layer between the two.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: June 27, 2023
    Assignee: Micron Technology, Inc.
    Inventors: Ling Pan, Sook Har Leong, Kelvin Tan Aik Boo
  • Patent number: 11688638
    Abstract: A system to manufacture a plurality of dies may include an etching tool, an electrically-conductive-adhesive-composition, a heat-applying-extraction-tool and a porous substrate cooperating with an evacuation component. The etching tool uses an ion beam that is configured to singulate a plurality of dies on a wafer with an ion etching process. The electrically-conductive-adhesive-composition is located between the wafer and a porous substrate carrying the wafer during the ion etching process. The electrically-conductive-adhesive-composition adheres the wafer to the porous substrate to keep the dies in place during the ion etching process. The electrically-conductive-adhesive-composition also aids in conducting electrons away from the wafer as a drain during the ion etching process.
    Type: Grant
    Filed: March 27, 2018
    Date of Patent: June 27, 2023
    Assignee: SRI International
    Inventors: Winston K. Chan, Joey J. Michalchuk
  • Patent number: 11688670
    Abstract: A semiconductor package includes a power semiconductor chip comprising SiC, a leadframe part comprising Cu, wherein the power semiconductor chip is arranged on the leadframe part, and a solder joint electrically and mechanically coupling the power semiconductor chip to the leadframe part, wherein the solder joint comprises at least one intermetallic phase.
    Type: Grant
    Filed: October 26, 2020
    Date of Patent: June 27, 2023
    Assignee: Infineon Technologies Austria AG
    Inventors: Ralf Otremba, Paul Frank, Alexander Heinrich, Alexandra Ludsteck-Pechloff, Daniel Pedone
  • Patent number: 11682644
    Abstract: A method for fabricating a semiconductor device with a heterogeneous solder joint includes: providing a semiconductor die; providing a coupled element; and soldering the semiconductor die to the coupled element with a first solder joint. The first solder joint includes: a solder material including a first metal composition; and a coating including a second metal composition, different from the first metal composition, the coating at least partially covering the solder material. The second metal composition has a greater stiffness and/or a higher melting point than the first metal composition.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: June 20, 2023
    Assignee: Infineon Technologies AG
    Inventors: Swee Kah Lee, Sook Woon Chan, Fong Mei Lum, Joachim Mahler, Muhammad Muhammat Sanusi
  • Patent number: 11682651
    Abstract: Disclosed herein is a bump-on-trace interconnect with a wetted trace sidewall and a method for fabricating the same. A first substrate having conductive bump with solder applied is mounted to a second substrate with a trace disposed thereon by reflowing the solder on the bump so that the solder wets at least one sidewall of the trace, with the solder optionally wetting between at least half and all of the height of the trace sidewall. A plurality of traces and bumps may also be disposed on the first substrate and second substrate with a bump pitch of less than about 100 ?m, and volume of solder for application to the bump calculated based on at least one of a joint gap distance, desired solder joint width, predetermined solder joint separation, bump geometry, trace geometry, minimum trace sidewall wetting region height and trace separation distance.
    Type: Grant
    Filed: November 23, 2020
    Date of Patent: June 20, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY
    Inventors: Chen-Hua Yu, Chen-Shien Chen
  • Patent number: 11682640
    Abstract: A method of fabricating an under-bump metallurgy (UBM) structure that is free of gold processing includes forming a titanium layer on top of a far back of line (FBEOL) of a semiconductor. A first copper layer is formed on top of the titanium layer. A photoresist (PR) layer is formed on top of the first copper layer between traces of the FBEOL to provide a cavity to the FBEOL traces. A top copper layer is formed on top of the first copper layer. A protective surface layer (PSL) is formed on top of the top copper layer.
    Type: Grant
    Filed: November 24, 2020
    Date of Patent: June 20, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mukta Ghate Farooq, James J. Kelly
  • Patent number: 11670616
    Abstract: A method of hybridizing an FPA having an IR component and a ROIC component and interconnects between the two components, includes the steps of: providing an IR detector array and a Si ROIC; depositing a dielectric layer on both the IR detector array and on the Si ROIC; patterning the dielectric on both components to create openings to expose contact areas on each of the IR detector array and the Si ROIC; depositing indium to fill the openings on both the IR detector array and the Si ROIC to create indium bumps, the indium bumps electrically connected to the contact areas of the IR detector array and the Si ROIC respectively, exposed on a top surface of the IR detector array and the Si ROIC; activating exposed dielectric layers on the IR detector array and the Si ROIC in a plasma; and closely contacting the indium bumps of the IR detector array and the Si ROIC by bonding together the exposed dielectric surfaces of the IR detector array and the Si ROIC.
    Type: Grant
    Filed: June 22, 2021
    Date of Patent: June 6, 2023
    Assignee: EPIR, INC.
    Inventors: Sushant Sonde, Yong Chang, Silviu Velicu
  • Patent number: 11670536
    Abstract: A 3D device includes a first level including a first single crystal layer with control circuitry, where the control circuitry includes first single crystal transistors; a first metal layer atop first single crystal layer; a second metal layer atop the first metal layer; a third metal layer atop the second metal layer; second level (includes a plurality of second transistors) atop the third metal layer; a fourth metal layer disposed above the one second level; a fifth metal layer atop the fourth metal layer, where the second level includes at least one first oxide layer overlaid by a transistor layer and then overlaid by a second oxide layer; a global power distribution grid, which includes the fifth metal layer; a local power distribution grid, which includes the second metal layer, the thickness of the fifth metal layer is at least 50% greater than the thickness of the second metal layer.
    Type: Grant
    Filed: December 31, 2022
    Date of Patent: June 6, 2023
    Assignee: Monolithic 3D Inc.
    Inventors: Zvi Or-Bach, Brian Cronquist, Deepak Sekar
  • Patent number: 11670614
    Abstract: Certain aspects of the present disclosure generally relate to an integrated circuit assembly. One example integrated circuit assembly generally includes a first reconstituted assembly, a second reconstituted assembly, and a third reconstituted assembly. The first reconstituted assembly comprises at least one passive component and a first bonding layer. The second reconstituted assembly is disposed above the first reconstituted assembly and comprises one or more first semiconductor dies, a second bonding layer bonded to the first bonding layer of the first reconstituted assembly, and a third bonding layer. The third reconstituted assembly is disposed above the second reconstituted assembly and comprises one or more second semiconductor dies and a fourth bonding layer bonded to the third bonding layer of the second reconstituted assembly.
    Type: Grant
    Filed: October 2, 2020
    Date of Patent: June 6, 2023
    Assignee: QUALCOMM INCORPORATED
    Inventors: Jonghae Kim, Milind Shah, Periannan Chidambaram, Abdolreza Langari
  • Patent number: 11664432
    Abstract: The present disclosure relates to semiconductor structures and, more particularly, to a layout optimization for radio frequency (RF) device performance and methods of manufacture. The structure includes: a first active device on a substrate; source and drain diffusion regions adjacent to the first active device; and a first contact in electrical contact with the source and drain diffusion regions and which is spaced away from the first active device to optimize a stress component in a channel region of the first active device.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: May 30, 2023
    Assignees: GLOBALFOUNDRIES U.S. INC., KHALIFA UNIVERSITY
    Inventors: Dirk Utess, Zhixing Zhao, Dominik M. Kleimaier, Irfan A. Saadat, Florent Ravaux