Patents by Inventor Dechao Guo

Dechao Guo has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240105769
    Abstract: A semiconductor device includes a substrate having a first region and a second region separated from the first region by distance to define a space therebetween. A first semiconductor device including a gate dielectric is on the first region. The first semiconductor device can implement a FinFet-based input/output (I/O) device in the first region. A second semiconductor device excluding a gate dielectric is on the second region. The second semiconductor device can implement a nanosheet-based logic device in the second region.
    Type: Application
    Filed: September 28, 2022
    Publication date: March 28, 2024
    Inventors: Shahab Siddiqui, Ruqiang Bao, Charlotte DeWan Adams, Curtis S. Durfee, Anthony I. Chou, Barry Paul Linder, Ravikumar Ramachandran, Dechao Guo
  • Publication number: 20240096887
    Abstract: A semiconductor device includes a substrate; a set of first transistors positioned on an upper surface of the substrate, each of the set of first transistors comprising a first gate and a first dielectric; an insulating layer positioned on an upper surface of the set of first transistors; and a set of second transistors positioned over the set of first transistors and with the set of first transistors on an upper surface of the insulating layer, each of the set of second transistors having a second gate and a second dielectric; wherein each of the first dielectrics is connected to a sidewall of each of a corresponding first gate; and wherein each of the second dielectrics is connected to the insulating layer.
    Type: Application
    Filed: September 15, 2022
    Publication date: March 21, 2024
    Inventors: Ruqiang Bao, Dechao Guo, Junli Wang, Heng Wu
  • Patent number: 11908743
    Abstract: Semiconductor devices, integrated chips, and methods of forming the same include forming a fill over a stack of semiconductor layers. The stack of semiconductor layers includes a first sacrificial layer and a set of alternating second sacrificial layers and channel layers. A dielectric fin is formed over the stack of semiconductor layers. The first sacrificial layer and the second sacrificial layers are etched away, leaving the channel layers supported by the dielectric fin over an exposed substrate surface. A dielectric layer is conformally deposited on the exposed substrate surface, the dielectric layer having a consistent thickness across the top surface. A conductive material is deposited over the dielectric layer.
    Type: Grant
    Filed: September 27, 2021
    Date of Patent: February 20, 2024
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huimei Zhou, Andrew M. Greene, Julien Frougier, Ruqiang Bao, Jingyun Zhang, Miaomiao Wang, Dechao Guo
  • Patent number: 11894423
    Abstract: Techniques are provided to fabricate semiconductor devices having a nanosheet field-effect transistor device disposed on a semiconductor substrate. The nanosheet field-effect transistor device includes a nanosheet stack structure including a semiconductor channel layer and a source/drain region in contact with an end portion of the semiconductor channel layer of the nanosheet stack structure. A trench formed in the source/drain region is filled with a metal-based material. The metal-based material filling the trench in the source/drain region mitigates the effect of source/drain material overfill on the contact resistance of the semiconductor device.
    Type: Grant
    Filed: February 22, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Dechao Guo, Ruqiang Bao, Junli Wang, Lan Yu, Reinaldo Vega, Adra Carr
  • Patent number: 11894462
    Abstract: A semiconductor device includes one or more fins. Each fin includes a top channel portion formed from a channel material, a middle portion, and a bottom substrate portion formed from a same material as an underlying substrate. An oxide layer is formed between the bottom substrate portion of each fin and the isolation layer, with a space between a sidewall of at least a top portion of the isolation dielectric layer and an adjacent sidewall of the one or more fins, above the oxide layer. A gate dielectric, protruding into the space and in contact with the middle portion, is formed over the one or more fins and has a portion formed from a material different from the oxide layer.
    Type: Grant
    Filed: October 26, 2021
    Date of Patent: February 6, 2024
    Assignee: Adeia Semiconductor Solutions LLC
    Inventors: Huiming Bu, Kangguo Cheng, Dechao Guo, Sivananda K. Kanakasabapathy, Peng Xu
  • Patent number: 11895818
    Abstract: Embodiments of present invention provide a SRAM device. The SRAM device includes a first, a second, and a third SRAM cell each having a first and a second pass-gate (PG) transistor, wherein the second PG transistor of the second SRAM cell and the first PG transistor of the first SRAM cell are stacked in a first PG transistor cell, and the first PG transistor of the third SRAM cell and the second PG transistor of the first SRAM cell are stacked in a second PG transistor cell. The first and second PG transistors of the first SRAM cell may be stacked on top of, or underneath, the second PG transistor of the second SRAM cell and/or the first PG transistor of the third SRAM cell.
    Type: Grant
    Filed: April 26, 2022
    Date of Patent: February 6, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Carl Radens, Junli Wang, Ravikumar Ramachandran, Julien Frougier, Dechao Guo
  • Publication number: 20240014322
    Abstract: A semiconductor device fabrication method is provided. The semiconductor device fabrication method includes frontside semiconductor device processing on a frontside of a wafer, flipping the wafer, backside semiconductor device processing on a backside of the wafer and backside and frontside contact formation processing on the backside and frontside of the wafer, respectively.
    Type: Application
    Filed: September 25, 2023
    Publication date: January 11, 2024
    Inventors: Sung Dae Suk, Somnath Ghosh, Chen Zhang, Junli Wang, Devendra K. Sadana, Dechao Guo
  • Patent number: 11869893
    Abstract: Embodiments of the present invention are directed to a method for forming a complementary field effect transistor (CFET) structure having a wrap-around contact. In a non-limiting embodiment of the invention, a complementary nanosheet stack is formed over a substrate. The complementary nanosheet stack includes a first nanosheet and a second nanosheet separated by a dielectric spacer. A first sacrificial layer is formed over a source or drain (S/D) region of the first nanosheet and a second sacrificial layer is formed over a S/D region of the second nanosheet. A conductive gate is formed over channel regions of the first nanosheet and the second nanosheet. After the conductive gate is formed, the first sacrificial layer is replaced with a first wrap-around contact and the second sacrificial layer is replaced with a second wrap-around contact.
    Type: Grant
    Filed: October 27, 2021
    Date of Patent: January 9, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Chun-Chen Yeh, Alexander Reznicek, Dechao Guo
  • Publication number: 20240006480
    Abstract: A semiconductor structure is presented including a first field effect transistor (FET), the first FET including at least a first set of fins and a second set of fins, the first set of fins surrounded by a first work function metal (WFM) and the second set of fins surrounded by a second WFM and a second FET formed directly over the first FET, the second FET including at least a first nanosheet stack and a second nanosheet stack, the first nanosheet stack surrounded by a third WFM and the second nanosheet stack surrounded by a third WFM with dipoles. The semiconductor structure further includes first contacts disposed from the first and second WFMs of the first FET to back-end-of-line (BEOL) components and second contacts disposed from a backside power delivery network (BSPDN) through the third WFM of the second FET to a top surface of the first and second WFMs of the first FET.
    Type: Application
    Filed: June 29, 2022
    Publication date: January 4, 2024
    Inventors: Ruqiang Bao, Junli Wang, Dechao Guo, Heng Wu
  • Publication number: 20230411293
    Abstract: A semiconductor device includes a plurality of field effect transistors (FET) formed upon semiconductor fins. Each FET includes a gate disposed transversely upon a first portion of the fins of the FET, one or more source/drain regions disposed upon the fins and in contact with the gate, and an electrically isolating layer disposed adjacent to a second portion of the fins above the gate and the source/drain regions, the electrically isolating layer having an interface with the gate. The device further includes a buried power rail (BPR) disposed between otherwise adjacent FETs. The BPR includes a metal rail extending beyond the interface into the gate, and electrically isolating sidewalls separating the metal rail from the gate and the source/drain regions. The device also includes a via-buried power rail contact disposed adjacent to the electrically isolating sidewalls, in contact with the metal rail, and in contact with one source/drain region.
    Type: Application
    Filed: June 15, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Junli Wang, Julien Frougier, Dechao Guo, Lawrence A. Clevenger
  • Publication number: 20230411386
    Abstract: A microelectronic structure including a plurality of lower transistors and a plurality of upper transistors, where channels of the upper transistors are staggered from channels of the lower transistors. A lower dielectric pillar located beneath an upper transistor, where the dielectric pillar separates bottom transistors.
    Type: Application
    Filed: June 20, 2022
    Publication date: December 21, 2023
    Inventors: Ruilong Xie, Albert M. Chu, Junli Wang, Brent A. Anderson, Anthony I. Chou, Dechao Guo
  • Patent number: 11817501
    Abstract: A semiconductor device fabrication method is provided. The semiconductor device fabrication method includes frontside semiconductor device processing on a frontside of a wafer, flipping the wafer, backside semiconductor device processing on a backside of the wafer and backside and frontside contact formation processing on the backside and frontside of the wafer, respectively.
    Type: Grant
    Filed: September 22, 2021
    Date of Patent: November 14, 2023
    Assignee: International Business Machines Corporation
    Inventors: Sung Dae Suk, Somnath Ghosh, Chen Zhang, Junli Wang, Devendra K. Sadana, Dechao Guo
  • Publication number: 20230345690
    Abstract: Embodiments of present invention provide a SRAM device. The SRAM device includes a first, a second, and a third SRAM cell each having a first and a second pass-gate (PG) transistor, wherein the second PG transistor of the second SRAM cell and the first PG transistor of the first SRAM cell are stacked in a first PG transistor cell, and the first PG transistor of the third SRAM cell and the second PG transistor of the first SRAM cell are stacked in a second PG transistor cell. The first and second PG transistors of the first SRAM cell may be stacked on top of, or underneath, the second PG transistor of the second SRAM cell and/or the first PG transistor of the third SRAM cell.
    Type: Application
    Filed: April 26, 2022
    Publication date: October 26, 2023
    Inventors: Ruilong Xie, Carl Radens, Junli Wang, Ravikumar Ramachandran, Julien Frougier, Dechao Guo
  • Publication number: 20230343650
    Abstract: Embodiments of present invention provide a method of forming a transistor structure. The method includes receiving a first and a second gate region of a first and a second transistor, the second transistor being adjacent to the first transistor; forming a first work-function metal surrounding the first gate region; truncating the first work-function metal at a first boundary between the first transistor and the second transistor; forming one or more work-function metals surrounding the first gate region; truncating the one or more work-function metals at a second boundary between the first boundary and the second transistor; and forming another work-function metal surround the first and second gate regions. A transistor structure formed thereby is also provided.
    Type: Application
    Filed: April 25, 2022
    Publication date: October 26, 2023
    Inventors: Ruqiang Bao, Dechao Guo
  • Publication number: 20230317611
    Abstract: Embodiments are disclosed for a system. The system includes multiple tracks. Further, one track includes a power rail for a first voltage. The system also includes a first via, disposed beneath, and in electrical contact with, the power rail. The system additionally includes a first contact, beneath, and in electrical contact with, the first via. The system further includes a first field effect transistor (FET), beneath, and in electrical isolation with, the first contact. Additionally, the system includes a second FET, beneath, and in electrical contact with, the first FET. Further, the system includes a second contact, beneath, and in electrical contact with, the second FET. Also, the system includes a second via, beneath, and in electrical contact with, the second contact. The system additionally includes a buried power rail (BPR), beneath, and in electrical contact with, the second via, wherein the BPR comprises a second voltage.
    Type: Application
    Filed: March 31, 2022
    Publication date: October 5, 2023
    Inventors: Albert M Chu, Junli Wang, Albert M. Young, Dechao Guo
  • Publication number: 20230317782
    Abstract: A first and a second nanosheet stack, a first source drain to the first nanosheet stack, a carrier wafer bonded to an upper surface, a bottom source drain contact located on a bottom surface of the first source drain, an epitaxial region between the bottom source drain contact and the first source drain, a second source drain adjacent to the second nanosheet stack and a top source drain contact located on an upper surface of the second source drain, the bottom source drain contact and the top source drain contact on opposite sides. Forming a first and a second nanosheet stack, forming an upper top source drain contact to first source drain adjacent to the first nanosheet stack, bonding a carrier wafer to an upper surface and forming a bottom source drain contact to a lower horizontal surface of a second source drain adjacent to the second nanosheet stack.
    Type: Application
    Filed: March 29, 2022
    Publication date: October 5, 2023
    Inventors: Ruilong Xie, Dechao Guo, Kisik Choi, Oleg Gluschenkov, Shogo Mochizuki
  • Publication number: 20230299085
    Abstract: A semiconductor structure including a first stacked transistor structure including a top device stacked directly above a bottom device, and a second stacked transistor structure adjacent to the first stacked transistor, the second stacked transistor including a top device stacked directly above a bottom device, where the top device of the first stacked transistor structure and the top device of the second stacked transistor structure are made from different gate dielectric materials, and where the bottom device of the first stacked transistor structure and the bottom device of the second stacked transistor structure are made from different gate dielectric materials.
    Type: Application
    Filed: March 21, 2022
    Publication date: September 21, 2023
    Inventors: Ruilong Xie, Nicolas Jean Loubet, Julien Frougier, Dechao Guo
  • Patent number: 11757012
    Abstract: A technique relates to a semiconductor device. A source or drain (S/D) contact liner is formed on one or more S/D regions. Annealing is performed to form a silicide layer around the one or more S/D regions, the silicide layer being formed at an interface between the S/D contact liner and the S/D regions. A block layer is formed into a pattern over the one or more S/D regions, such that a portion of the S/D contact liner is protected by the block layer. Unprotected portions of the S/D contact liner are removed, such that the S/D contact liner protected by the block layer remains over the one or more S/D regions. The block layer and S/D contacts are formed on the S/D contact liner over the one or more S/D regions.
    Type: Grant
    Filed: November 19, 2019
    Date of Patent: September 12, 2023
    Assignee: International Business Machines Corporation
    Inventors: Andrew Greene, Dechao Guo, Tenko Yamashita, Veeraraghavan S. Basker, Robert Robison, Ardasheir Rahman
  • Patent number: 11749744
    Abstract: A semiconductor device is provided. The semiconductor device includes a bottom source/drain; a top source/drain; a fin provided between the bottom source/drain and the top source/drain, the fin including a first fin structure and a second fin structure that are symmetric to each other in a plan view. Each of the first and second fin structures includes a main fin extending laterally in a first direction, and first and second extension fins extending laterally from the main fin in a second direction perpendicular to the first direction. The main fin extends laterally in the first direction beyond where the first and second extension fins connect to the main fin.
    Type: Grant
    Filed: June 8, 2021
    Date of Patent: September 5, 2023
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Lan Yu, Dechao Guo, Junli Wang, Ruqiang Bao, Ruilong Xie
  • Patent number: 11710521
    Abstract: 6T-SRAM cell designs for larger SRAM arrays and methods of manufacture generally include a single fin device for both nFET (pass-gate (PG) and pull-down (PD)) and pFET (pull-up (PU). The pFET can be configured with a smaller effective channel width (Weff) than the nFET or with a smaller active fin height. An SRAM big cell consumes the (111) 6t-SRAM design area while provide different Weff ratios other than 1:1 for PU/PD or PU/PG as can be desired for different SRAM designs.
    Type: Grant
    Filed: February 11, 2021
    Date of Patent: July 25, 2023
    Assignee: International Business Machines Corporation
    Inventors: Lan Yu, Junli Wang, Heng Wu, Ruqiang Bao, Dechao Guo