Patents by Inventor Dechao Guo

Dechao Guo has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11710521
    Abstract: 6T-SRAM cell designs for larger SRAM arrays and methods of manufacture generally include a single fin device for both nFET (pass-gate (PG) and pull-down (PD)) and pFET (pull-up (PU). The pFET can be configured with a smaller effective channel width (Weff) than the nFET or with a smaller active fin height. An SRAM big cell consumes the (111) 6t-SRAM design area while provide different Weff ratios other than 1:1 for PU/PD or PU/PG as can be desired for different SRAM designs.
    Type: Grant
    Filed: February 11, 2021
    Date of Patent: July 25, 2023
    Assignee: International Business Machines Corporation
    Inventors: Lan Yu, Junli Wang, Heng Wu, Ruqiang Bao, Dechao Guo
  • Publication number: 20230215949
    Abstract: A semiconductor device includes a FinFET fin. The same FinFET fin is associated with a bottom FinFET and a top FinFET. The FinFET fin includes a lower channel portion, associated with the bottom FinFET, a top channel portion, associated with the top FinFET, and a channel isolator between the bottom channel portion and the top channel portion. A lower gate includes a vertical portion that is upon a sidewall of the bottom channel portion. An isolation layer may be formed upon the lower gate if it is desired for the top FinFET fin and the bottom FinFET fin to not share a gate. An upper gate is upon the top channel portion and is further upon the isolation layer, if present, or is upon the lower gate.
    Type: Application
    Filed: January 6, 2022
    Publication date: July 6, 2023
    Inventors: Chen Zhang, Junli Wang, Ruilong Xie, Dechao Guo, Sung Dae Suk
  • Publication number: 20230207553
    Abstract: A device comprises a first interconnect structure, a second interconnect structure, a first cell comprising a first transistor, a second cell comprising a second transistor, a first contact connecting a source/drain element of the first transistor to the first interconnect structure, and second contact connecting a source/drain element of the second transistor to the second interconnect structure. The first cell is disposed adjacent to the second cell with the first transistor disposed adjacent to the second transistor. The first and second cells are disposed between the first and second interconnect structures.
    Type: Application
    Filed: December 27, 2021
    Publication date: June 29, 2023
    Inventors: Ruilong Xie, Kisik Choi, Somnath Ghosh, Sagarika Mukesh, Albert Chu, Albert M. Young, Balasubramanian S. Pranatharthiharan, Huiming Bu, Kai Zhao, John Christopher Arnold, Brent A. Anderson, Dechao Guo
  • Patent number: 11688635
    Abstract: Embodiments of the invention are directed to an integrated circuit. A non-limiting example of the integrated circuit includes a transistor formed over a substrate. A dielectric region is formed over the transistor and the substrate. A trench is positioned in the dielectric region and over a S/D region of the transistor. A first liner and a conductive plug are within the trench such that the first liner and the conductive plug are only present within a bottom portion of the trench. A substantially oxygen-free replacement liner and a S/D contact are within the top portion of the trench such that a bottom contact surface of the S/D contact directly couples to a top surface of the conductive plug.
    Type: Grant
    Filed: December 23, 2020
    Date of Patent: June 27, 2023
    Assignee: International Business Machines Corporation
    Inventors: Heng Wu, Dechao Guo, Junli Wang, Ruqiang Bao
  • Publication number: 20230197603
    Abstract: An interconnect layer for a device and methods for fabricating the interconnect layer are provided. The interconnect layer includes first metal structures arranged in a first array in the interconnect layer and second metal structures, arranged in a second array in the interconnect layer. The second array includes at least one metal structure positioned between two metal structures of the first metal structures. The interconnect layer also includes a spacer material formed around each of the first metal structures and the second metal structures and air gaps formed in the spacer material on each side of the first metal structures.
    Type: Application
    Filed: December 21, 2021
    Publication date: June 22, 2023
    Inventors: Hsueh-Chung CHEN, Su Chen FAN, Dechao GUO, Carl RADENS, Indira SESHADRI
  • Publication number: 20230197721
    Abstract: Embodiments of the present invention are directed to processing methods and resulting structures that leverage wafer bonding techniques to provide stacked field effect transistors (SFETs) with high-quality N/P junction isolation. In a non-limiting embodiment of the invention, a first semiconductor structure is formed on a first wafer and a second semiconductor structure is formed on a second wafer. The first wafer is positioned with respect to the second wafer such that a top surface of the first semiconductor structure is directly facing a top surface of the second semiconductor structure. A bonding layer is formed between the top surface of the first semiconductor structure and the top surface of the second semiconductor structure and the first wafer is bonded to the second wafer at a first temperature. The device is annealed at a second temperature to cure the bonding layer. The anneal temperature is greater than the bonding temperature.
    Type: Application
    Filed: December 17, 2021
    Publication date: June 22, 2023
    Inventors: Ruqiang Bao, Michael P. Belyansky, Dechao Guo, Junli Wang
  • Publication number: 20230187541
    Abstract: The embodiments herein describe a crossbar VFET where the crossbar channel (or fin) that extends between a pair of channels (fins) has reduced corner rounding, or no corner rounding. This can be achieved by developing a masking feature before etching the channels in the VFET that results in reduced, or no corner rounding in the channel structure etched using the masking feature.
    Type: Application
    Filed: December 15, 2021
    Publication date: June 15, 2023
    Inventors: Brent A. ANDERSON, Junli WANG, Indira SESHADRI, Ruilong XIE, Dechao GUO
  • Publication number: 20230178619
    Abstract: A semiconductor structure includes a first transistor device comprising a plurality of channel regions. The semiconductor structure further includes a second transistor device comprising a plurality of channel regions. The first transistor device and the second transistor device are disposed in a stacked configuration. The plurality of channel regions of the first transistor device are disposed in a staggered configuration relative to the plurality of channel regions of the second transistor device.
    Type: Application
    Filed: December 3, 2021
    Publication date: June 8, 2023
    Inventors: Albert Chu, Junli Wang, Albert M. Young, Vidhi Zalani, Dechao Guo
  • Publication number: 20230178549
    Abstract: Stacked field effect transistors are provided such having a first power rail; a second power rail; a first Field Effect Transistor (FET) having a first gate connected to the first power rail; a second FET having a second gate connected to the second power rail; and an insulator separating the first FET from the second FET, wherein the first power rail, the second power rail, the first FET, and the second FET are aligned on a shared axis, and wherein the first power rail and the second power rail are located on opposite sides of the device.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Sung Dae SUK, Timothy Mathew PHILIP, Junli WANG, Dechao GUO, Chen ZHANG
  • Publication number: 20230178553
    Abstract: A semiconductor structure is provided that includes a first FET device stacked over a second FET device, wherein the first FET device contains a first functional gate structure containing a first work function metal and the second FET device contains a second functional gate structure containing a second work function metal. In the structure, the first work function metal is absent from an area including the second work function metal, and vice versa. Thus, no shared work functional metal is present in the semiconductor structure.
    Type: Application
    Filed: December 8, 2021
    Publication date: June 8, 2023
    Inventors: Ruilong Xie, Julien Frougier, Junli Wang, Dechao Guo, Ruqiang Bao, Rishikesh Krishnan, Balasubramanian S. Pranatharthiharan
  • Publication number: 20230170352
    Abstract: A semiconductor structure including vertically stacked nFETs and pFETs containing suspended semiconductor channel material nanosheets (NS) and a method of forming such a structure. The structure is a three dimensional (3D) integration by vertically stacking nFETs and pFETs for area scaling. In an embodiment, vertically-stacked NS FET structures include a first nanosheet transistor located above a second nanosheet transistor; the first nanosheet transistor including a first NS channel material, wherein the first NS channel material includes a first crystalline orientation; the second nanosheet transistor including a second NS channel material, wherein the second NS channel material comprises a second crystalline orientation, the first crystalline orientation is different from the second crystalline orientation. In an embodiment, each of the respective formed vertically-stacked NS FET structures include respective suspended stack of nanosheet channels that are self-aligned with each other.
    Type: Application
    Filed: November 30, 2021
    Publication date: June 1, 2023
    Inventors: RUQIANG BAO, JUNLI WANG, DECHAO GUO
  • Patent number: 11665877
    Abstract: A compact SRAM design in a stacked architecture is provided. Notably, a 6-transistor SRAM bite cell including a bottom device level containing bottom field effect transistors and a top device level, stacked above the bottom device level, containing top field effect transistors of a different conductivity type than the bottom field effect transistors is provided.
    Type: Grant
    Filed: December 29, 2021
    Date of Patent: May 30, 2023
    Assignee: International Business Machines Corporation
    Inventors: Chen Zhang, Ruilong Xie, Junli Wang, Dechao Guo
  • Patent number: 11658116
    Abstract: A semiconductor structure comprises a front-end-of-line region comprising two or more devices, a first back-end-of-line region on a first side of the front-end-of-line region, the first back-end-of-line region comprising a first set of interconnects for at least a first subset of the two or more devices in the front-end-of-line region, and a second back-end-of-line region on a second side of the front-end-of-line region opposite the first side of the front-end-of-line region, the second back-end-of-line region comprising a second set of interconnects for at least a second subset of the two or more devices in the front-end-of-line region. The semiconductor structure also comprises one or more passthrough vias disposed in the front-end-of-line region, each of the one or more passthrough vias connecting at least one of the first set of interconnects of the first back-end-of-line region to at least one of the second set of interconnects of the second back-end-of-line region.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: May 23, 2023
    Assignee: International Business Machines Corporation
    Inventors: Junli Wang, Albert Chu, Dechao Guo, Brent Anderson
  • Publication number: 20230154996
    Abstract: A device includes a base layer structure including a first region and a second region; a first bottom gate material in a plurality of first-type doped regions in the first and second regions; a second bottom gate material in a second-type doped regions in the first and second regions; first nanosheet gate-all-round device structures on the first bottom gate material; and second nanosheet gate-all-round device structures on the second bottom gate material, wherein the first bottom gate material is located over the second nanosheet gate-all-around device structures in the second-type doped regions of the first and second regions, wherein the second bottom gate material extends, in boundary regions between the first-type and second-type doped regions, on the base layer structure from the second nanosheet gate-all-around devices structures toward the first gate-all-round device structures.
    Type: Application
    Filed: November 17, 2021
    Publication date: May 18, 2023
    Inventors: RUQIANG BAO, Jing Guo, Junli Wang, Dechao Guo
  • Patent number: 11652156
    Abstract: Embodiments of the present invention are directed to methods and resulting structures for nanosheet devices having asymmetric gate stacks. In a non-limiting embodiment of the invention, a nanosheet stack is formed over a substrate. The nanosheet stack includes alternating semiconductor layers and sacrificial layers. A sacrificial liner is formed over the nanosheet stack and a dielectric gate structure is formed over the nanosheet stack and the sacrificial liner. A first inner spacer is formed on a sidewall of the sacrificial layers. A gate is formed over channel regions of the nanosheet stack. The gate includes a conductive bridge that extends over the substrate in a direction orthogonal to the nanosheet stack. A second inner spacer is formed on a sidewall of the gate. The first inner spacer is formed prior to the gate stack, while the second inner spacer is formed after, and consequently, the gate stack is asymmetrical.
    Type: Grant
    Filed: October 21, 2021
    Date of Patent: May 16, 2023
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Carl Radens, Kangguo Cheng, Juntao Li, Dechao Guo, Tao Li, Tsung-Sheng Kang
  • Publication number: 20230142226
    Abstract: Embodiments of the invention include vertically stacked field-effect transistors (FETs). The vertically stacked FETs include at least one first transistor and at least one second transistor separated by a dielectric isolation layer. Gate material is adjacent to the at least one first transistor and the at least one second transistor, at least one first height vertical layer being adjacent to and about a height of the gate material, at least one second height vertical layer being adjacent to and less than the height of the gate material.
    Type: Application
    Filed: November 10, 2021
    Publication date: May 11, 2023
    Inventors: Ruilong Xie, Nicolas Loubet, Julien Frougier, Lawrence A. Clevenger, PRASAD BHOSALE, Junli Wang, Balasubramanian Pranatharthiharan, Dechao Guo
  • Publication number: 20230133296
    Abstract: Embodiments of the invention are directed to a method of fabricating an integrated circuit (IC). The method includes performing fabrication operations to form an extended-gate field effect transistor (EG-FET) on a substrate. The fabrication operations include forming a channel in an EG region of the substrate. A first EG gate dielectric is deposited over the channel at a first low-temperature. A reinforcement treatment is applied to the first EG gate dielectric at a second low-temperature, wherein the reinforcement treatment converts the first EG gate dielectric to a reinforced first EG gate dielectric. The first low-temperature is selected to be below the second low-temperature; and the second low-temperature is selected to be below a third low-temperature that causes a diffusion of a first type of semiconductor material across an interface and into a second type of semiconductor to exceed a predetermined minimum diffusion level or rate.
    Type: Application
    Filed: October 28, 2021
    Publication date: May 4, 2023
    Inventors: Ruqiang Bao, Junli Wang, Dechao Guo
  • Publication number: 20230102261
    Abstract: Semiconductor devices, integrated chips, and methods of forming the same include forming a fill over a stack of semiconductor layers. The stack of semiconductor layers includes a first sacrificial layer and a set of alternating second sacrificial layers and channel layers. A dielectric fin is formed over the stack of semiconductor layers. The first sacrificial layer and the second sacrificial layers are etched away, leaving the channel layers supported by the dielectric fin over an exposed substrate surface. A dielectric layer is conformally deposited on the exposed substrate surface, the dielectric layer having a consistent thickness across the top surface. A conductive material is deposited over the dielectric layer.
    Type: Application
    Filed: September 27, 2021
    Publication date: March 30, 2023
    Inventors: Huimei Zhou, Andrew M. Greene, Julien Frougier, Ruqiang Bao, Jingyun Zhang, Miaomiao Wang, Dechao Guo
  • Publication number: 20230086033
    Abstract: A semiconductor structure comprises a substrate having a first side and a second side opposite the first side, and a gate for at least one transistor device disposed above the first side of the substrate. The structure may further include a buried power rail at least partially disposed in the substrate and a gate tie-down contact connecting the gate to the buried power rail from the second side of the substrate. The structure may further or alternatively include one or more source/drain regions disposed over the first side of the substrate, and a gate contact connecting to a portion of the gate from the second side of the substrate, the portion of the gate being adjacent to at least one of the one or more source/drain regions.
    Type: Application
    Filed: September 21, 2021
    Publication date: March 23, 2023
    Inventors: Ruilong Xie, Julien Frougier, Veeraraghavan S. Basker, Lawrence A. Clevenger, Nicolas Loubet, Dechao Guo, Kisik Choi, Kangguo Cheng, Carl Radens
  • Publication number: 20230086967
    Abstract: A semiconductor device fabrication method is provided. The semiconductor device fabrication method includes frontside semiconductor device processing on a frontside of a wafer, flipping the wafer, backside semiconductor device processing on a backside of the wafer and backside and frontside contact formation processing on the backside and frontside of the wafer, respectively.
    Type: Application
    Filed: September 22, 2021
    Publication date: March 23, 2023
    Inventors: Sung Dae Suk, SOMNATH GHOSH, Chen Zhang, Junli Wang, Devendra K. Sadana, Dechao Guo