Patents by Inventor Intermolecular, Inc.

Intermolecular, Inc. has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20140264492
    Abstract: FinFETs and methods for making FinFETs are disclosed. A fin is formed on a substrate, wherein the fin has a height greater than 2 to 6 times of its width, a length defining a channel between source and drain ends, and the fin comprises a lightly doped semiconductor. A conformally doped region of counter-doped semiconductor is formed on the fin using methods such as monolayer doping, sacrificial oxide doping, or low energy plasma doping. Halo-doped regions are formed by angled ion implantation. The halo-doped regions are disposed in the lower portion of the source and drain and adjacent to the fin. Energy band barrier regions can be formed at the edges of the halo-doped regions by angled ion implantation.
    Type: Application
    Filed: July 15, 2013
    Publication date: September 18, 2014
    Applicant: Intermolecular, Inc.
    Inventor: Intermolecular, Inc.
  • Publication number: 20140264634
    Abstract: Methods for making a FinFET having reduced device mismatch and low-frequency noise are disclosed for RF/analog IC designs. A semiconductor fin is formed having a height between 2 and 6 times its width, atomically smooth sidewalls, and rounded active corners to minimize device variability. The fin is operable as a channel between a source and a drain. A first layer of SiO2 is formed on the fin. A second layer of a high-? dielectric is formed on the first layer. A third layer comprising a conductor is formed on the second layer. Ohmic contacts comprising a metal silicide or a thin dielectric layer are formed on source and drain. The fin is formed by anisotropic wet etching, and the rounded active corners are formed by sacrificial oxidation. The conductor is formed to be either amorphous or polycrystalline with a grain size varying by no more than ±10%.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventor: INTERMOLECULAR, INC.
  • Publication number: 20140272335
    Abstract: A bi-layer seed layer can exhibit good seed property for an infrared reflective layer, together with improved thermal stability. The bi-layer seed layer can include a thin zinc oxide layer having a desired crystallographic orientation for a silver infrared reflective layer disposed on a bottom layer having a desired thermal stability. The thermal stable layer can include aluminum, magnesium, or bismuth doped tin oxide (AlSnO, MgSnO, or BiSnO), which can have better thermal stability than zinc oxide but poorer lattice matching for serving as a seed layer template for silver (111).
    Type: Application
    Filed: March 12, 2013
    Publication date: September 18, 2014
    Applicant: INTERMOLECULAR INC.
    Inventor: INTERMOLECULAR INC.
  • Publication number: 20140187041
    Abstract: Provided are methods for processing semiconductor substrates to remove high-dose ion implanted (HDI) photoresist structures without damaging other structures made of titanium nitride, tantalum nitride, hafnium oxide, and/or hafnium silicon oxide. The removal is performed using a mixture of an organic solvent, an oxidant, a metal-based catalyst, and one of a base or an acid. Some examples of suitable organic solvents include dimethyl sulfoxide, n-ethyl pyrrolidone, monomethyl ether, and ethyl lactate. Transition metals in their zero-oxidation state, such as metallic iron or metallic chromium, may be used as catalysts in this mixture. In some embodiments, a mixture includes ethyl lactate, of tetra-methyl ammonium hydroxide, and less than 1% by weight of the metal-based catalyst. The etching rate of the HDI photoresist may be at least about 100 Angstroms per minute, while other structures may remain substantially intact.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: INTERMOLECULAR INC.
    Inventor: Intermolecular Inc.
  • Publication number: 20140186598
    Abstract: Low emissivity coated panels can be fabricated using a base layer having a low refractive index layer on a high refractive index layer. The low refractive index layer can have refractive index less than 1.5, and can include Mg F2, CaF2, SiO2, or BO. The high refractive index layer can have refractive index greater than 2.3, and can include TiOx, NbOx, or BiOx. The multilayer base structure can allow color tuning with enhanced transmission, for example, as compared to similar structures having single layer base layer.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: Intermolecular Inc.
    Inventor: Intermolecular Inc.
  • Publication number: 20140187051
    Abstract: A method for removing poly-silicon dummy gate structures using an ammonium hydroxide-hydrogen peroxide-water (APM) solution with concentrations between 1:10:20 and 1:1:2 and at temperatures between 20 C and 80 C for times between 1 minute and 60 minutes.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: INTERMOLECULAR INC.
    Inventor: Intermolecular Inc.
  • Publication number: 20140185034
    Abstract: Methods are provided to use data obtained from a single wavelength ellipsometer to determine the refractive index of materials as a function of wavelength for thin conductive films. The methods may be used to calculate the refractive index spectrum as a function of wavelength for thin films of metals, and conductive materials such as conductive metal nitrides or conductive metal oxides.
    Type: Application
    Filed: December 27, 2012
    Publication date: July 3, 2014
    Applicant: Intermolecular Inc.
    Inventor: Intermolecular Inc.
  • Publication number: 20140179112
    Abstract: Provided are methods of High Productivity Combinatorial testing of semiconductor substrates, each including multiple site isolated regions. Each site isolated region includes a titanium nitride structure as well as a hafnium oxide structure and/or a polysilicon structure. Each site isolated region is exposed to an etching solution that includes sulfuric acid, hydrogen peroxide, and hydrogen fluoride. The composition of the etching solution and/or etching conditions are varied among the site isolated regions to study effects of this variation on the etching selectivity of titanium nitride relative to hafnium oxide and/or polysilicon and on the etching rates. The concentration of sulfuric acid and/or hydrogen peroxide in the etching solution may be less than 7% by volume each, while the concentration of hydrogen fluoride may be between 50 ppm and 200 ppm. In some embodiments, the temperature of the etching solution is maintained at between about 40° C. and 60° C.
    Type: Application
    Filed: December 26, 2012
    Publication date: June 26, 2014
    Applicants: GLOBALFOUNDRIES, Intermolecular Inc.
    Inventors: Intermolecular Inc., GLOBALFOUNDRIES
  • Publication number: 20140175364
    Abstract: Provided are radiation enhanced resistive switching layers, resistive random access memory (ReRAM) cells including these layers, as well as methods of forming these layers and cells. Radiation creates defects in resistive switching materials that allow forming and breaking conductive paths in these materials thereby improving their resistive switching characteristics. For example, ionizing radiation may break chemical bonds in various materials used for such a layer, while non-ionizing radiation may form electronic traps. Radiation power, dozing, and other processing characteristics can be controlled to generate a distribution of defects within the resistive switching layer. For example, an uneven distribution of defects through the thickness of a layer may help with lowering switching voltages and/or currents. Radiation may be performed before or after thermal annealing, which may be used to control distribution of radiation created defects and other types of defects in resistive switching layers.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicants: INTERMOLECULAR INC., SANDISK 3D LLC, KABUSHIKI KAISHA TOSHIBA
    Inventors: Intermolecular Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
  • Publication number: 20140175618
    Abstract: Methods of forming a high K dielectric semiconductor stack are described. A semiconductor substrate is provided, in which the native oxide layer is removed. A transition metal aluminate layer is deposited onto the semiconductor substrate across discrete multiple regions in a combinatorial manner. A high K dielectric layer is deposited onto the transition metal aluminate layer across the discrete multiple regions in a combinatorial manner. The transition metal aluminate layer and the high K dielectric layer are patterned to form a plurality of high K dielectric semiconductor stacks across discrete multiple regions. A three-five semiconductor substrate or a germanium substrate can be used in methods of forming a high K dielectric semiconductor stack.
    Type: Application
    Filed: December 21, 2012
    Publication date: June 26, 2014
    Applicant: Intermolecular Inc.
    Inventor: Intermolecular Inc.
  • Publication number: 20140175360
    Abstract: Provided are resistive random access memory (ReRAM) cells having bi-layered metal oxide structures. The layers of a bi-layered structure may have different compositions and thicknesses. Specifically, one layer may be thinner than the other layer, sometimes as much as 5 to 20 times thinner. The thinner layer may be less than 30 Angstroms thick or even less than 10 Angstroms thick. The thinner layer is generally more oxygen rich than the thicker layer. Oxygen deficiency of the thinner layer may be less than 5 atomic percent or even less than 2 atomic percent. In some embodiments, a highest oxidation state metal oxide may be used to form a thinner layer. The thinner layer typically directly interfaces with one of the electrodes, such as an electrode made from doped polysilicon. Combining these specifically configured layers into the bi-layered structure allows improving forming and operating characteristics of ReRAM cells.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicants: INTERMOLECULAR INC., SANDISK 3D LLC, KABUSHIKI KAISHA TOSHIBA
    Inventors: Intermolecular Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
  • Publication number: 20140175362
    Abstract: Provided are ReRAM cells, each having at least one interface between an electrode and a resistive switching layers with a maximum field value of less than 0.25. The electrode materials forming such interfaces include tantalum nitrides doped with lanthanum, aluminum, erbium yttrium, or terbium (e.g., TaX(Dopant)YN, where X is at least about 0.95). The electrode materials have low work functions (e.g., less than about 4.5 eV). At the same time, the resistive switching materials have high relative dielectric permittivities (e.g., greater than about 30) and high electron affinities (greater than about for 3.5 eV). Niobium oxide is one example of a suitable resistive switching material. Another electrode interfacing the resistive switching layer may have different characteristics and, in some embodiments, may be an inert electrode.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicants: INTERMOLECULAR INC., SANDISK 3D LLC, KABUSHIKI KAISHA TOSHIBA
    Inventors: Intermolecular Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
  • Publication number: 20140166960
    Abstract: A nonvolatile memory device that contains a resistive switching memory element with improved device switching performance and lifetime, and methods of forming the same. A nonvolatile memory element includes a first electrode layer formed on a substrate, a resistive switching layer formed on the first electrode layer, and a second electrode layer. The resistive switching layer comprises a metal oxide and is disposed between the first electrode layer and the second electrode layer. The elemental metal selected for each of the first and second electrode layers is the same metal as selected to form the metal oxide resistive switching layer. The use of common metal materials within the memory element eliminates the growth of unwanted and incompatible native oxide interfacial layers that create undesirable circuit impedance.
    Type: Application
    Filed: December 13, 2012
    Publication date: June 19, 2014
    Applicants: INTERMOLECULAR INC., SANDISK 3D LLC, KABUSHIKI KAISHA TOSHIBA
    Inventors: Intermolecular Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
  • Publication number: 20140117303
    Abstract: Provided are semiconductor devices, such as resistive random access memory (ReRAM) cells, that include current limiting layers formed from alloys of transition metals. Some examples of such alloys include chromium containing alloys that may also include nickel, aluminum, and/or silicon. Other examples include tantalum and/or titanium containing alloys that may also include a combination of silicon and carbon or a combination of aluminum and nitrogen. These current limiting layers may have resistivities of at least about 1 Ohm-cm. This resistivity level is maintained even when the layers are subjected to strong electrical fields and/or high temperature processing. In some embodiments, the breakdown voltage of a current limiting layer is at least about 8V. The high resistivity of the layers allows scaling down the size of the semiconductor devices including these layers while maintaining their performance.
    Type: Application
    Filed: December 20, 2012
    Publication date: May 1, 2014
    Applicants: INTERMOLECULAR INC., SANDISK 3D LLC, KABUSHIKI KAISHA TOSHIBA
    Inventors: Intermolecular Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
  • Publication number: 20140080250
    Abstract: A method is disclosed for fabricating high efficiency CIGS solar cells including the deposition of a multi-component metal precursor film on a substrate. The substrate is then inserted into a system suitable for exposing the precursor to a chalcogen to form a chalcogenide TFPV absorber. One or more Na precursors are used to deposit a Na-containing layer on the precursor film in the system. This method eliminates the use of dedicated equipment and processes for introducing Na to the TFPV absorber.
    Type: Application
    Filed: December 27, 2012
    Publication date: March 20, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventor: INTERMOLECULAR, INC.
  • Publication number: 20140080322
    Abstract: A substrate for processing in a heating system is disclosed. The substrate includes a bottom portion for absorbing heat from a radiating heat source, the bottom portion having a first region having a first emissivity and a second region having a second emissivity less than the first emissivity. The first region and the second region promote thermal uniformity of the substrate by compensating for thermal non-uniformity of the radiating heat source.
    Type: Application
    Filed: January 10, 2013
    Publication date: March 20, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventor: INTERMOLECULAR, INC.
  • Publication number: 20130323863
    Abstract: A method for depositing graphene is provided. The method includes depositing a layer of non-conducting amorphous carbon over a surface of a substrate and depositing a transition metal in a pattern over the amorphous carbon. The substrate is annealed at a temperature below 500° C., where the annealing converts the non-conducting amorphous carbon disposed under the transition metal to conducting amorphous carbon. A portion of the pattern of the transition metal is removed from the surface of the substrate to expose the conducting amorphous carbon.
    Type: Application
    Filed: December 26, 2012
    Publication date: December 5, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130285159
    Abstract: A method for etching a metal gate stack is provided. The method includes forming a gate stack on a substrate, where the gate stack includes a metal gate. A wet etch process is performed on the gate stack. The wet etch process includes submersing the substrate with the gate stack in an aqueous solution composed of a wet etchant and an oxidizer, removing the substrate from the solution and rinsing the solution from the etched gate stack.
    Type: Application
    Filed: October 19, 2012
    Publication date: October 31, 2013
    Inventor: Intermolecular Inc.
  • Publication number: 20130221315
    Abstract: A resistor structure incorporated into a resistive switching memory cell with improved performance and lifetime is provided. The resistor structure may be a two-terminal structure designed to reduce the maximum current flowing through a memory cell. A method is also provided for making such a memory cell. The method includes depositing a resistor structure and depositing a variable resistance layer of a resistive switching memory cell of the memory cell, where the resistor structure is disposed in series with the variable resistance layer to limit the switching current of the memory cell. The incorporation of the resistor structure is very useful in obtaining desirable levels of switching currents that meet the switching specification of various types of memory cells. The memory cells may be formed as part of a high-capacity nonvolatile memory integrated circuit, which can be used in various electronic devices.
    Type: Application
    Filed: December 20, 2012
    Publication date: August 29, 2013
    Applicants: INTERMOLECULAR INC., SANDISK 3D LLC, KABUSHIKI KAISHA TOSHIBA
    Inventors: Intermolecular Inc., Kabushiki Kaisha Toshiba, SanDisk 3D LLC
  • Publication number: 20130221314
    Abstract: A resistor structure incorporated into a resistive switching memory cell or device to form memory devices with improved device performance and lifetime is provided. The resistor structure may be a two-terminal structure designed to reduce the maximum current flowing through a memory device. A method is also provided for making such memory device. The method includes depositing a resistor structure and depositing a variable resistance layer of a resistive switching memory cell of the memory device, where the resistor structure is disposed in series with the variable resistance layer to limit the switching current of the memory device. The incorporation of the resistor structure is very useful in obtaining desirable levels of device switching currents that meet the switching specification of various types of memory devices. The memory devices may be formed as part of a high-capacity nonvolatile memory integrated circuit, which can be used in various electronic devices.
    Type: Application
    Filed: November 13, 2012
    Publication date: August 29, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.