Patents by Inventor Kangguo Cheng

Kangguo Cheng has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 11963774
    Abstract: A high-density electrode array, a neural probe, and a method of control thereof, the high-density electrode array including a plurality of neural electrodes, a wordline, and a bitline where each neural electrode of the plurality of neural electrodes is individually controlled by the wordline and the bitline.
    Type: Grant
    Filed: December 12, 2017
    Date of Patent: April 23, 2024
    Assignee: International Business Machines Corporation
    Inventor: Kangguo Cheng
  • Publication number: 20240130142
    Abstract: A semiconductor structure comprises a first transistor, a second transistor vertically stacked over the first transistor, a source/drain region shared between the first transistor and the second transistor, and a resistive random-access memory device connected to the shared source/drain region.
    Type: Application
    Filed: October 17, 2022
    Publication date: April 18, 2024
    Inventors: Min Gyu Sung, Kangguo Cheng, Julien Frougier, Ruilong Xie, Chanro Park, Soon-Cheon Seo
  • Publication number: 20240130256
    Abstract: Embodiments of present invention provide a method of forming a phase change memory device. The method includes forming a bottom electrode on a supporting structure; forming a first blanket dielectric layer, a phase-change material layer, a second blanket dielectric layer, and a hard mask sequentially on top of the bottom electrode; forming an inner spacer in an opening in the hard mask to modify the opening; extending the opening into the second blanket dielectric layer to create an extended opening; filling the extended opening with a heating element; etching the second blanket dielectric layer, the phase-change material layer, and the first blanket dielectric layer respectively into a second dielectric layer, a phase-change element, and a first dielectric layer; forming a conductive liner surrounding the phase-change element; and forming a top electrode on top of the heating element. A structure formed thereby is also provided.
    Type: Application
    Filed: October 18, 2022
    Publication date: April 18, 2024
    Inventors: Kangguo Cheng, Juntao Li, Arthur Roy Gasasira, Ruilong Xie, Julien Frougier, Min Gyu Sung, Chanro Park
  • Publication number: 20240128346
    Abstract: A semiconductor structure is provided that includes a pFET located in a pFET device region, the pFET includes a first functional gate structure and a plurality of pFET semiconductor channel material nanosheets, and an nFET located in the nFET device region, the nFET includes a second functional gate structure and a plurality of pFET semiconductor channel material nanosheets. The pFET semiconductor channel material nanosheets can be staggered relative to, or vertically aligned in a horizontal direction with, the nFET semiconductor channel material nanosheets. When staggered, a bottom dielectric isolation structure can be located in both the device regions, and the second functional gate structures has a bottommost surface that extends beneath a topmost surface of the bottom dielectric isolation structure. When horizontally aligned, a vertical dielectric pillar is located between the two device regions.
    Type: Application
    Filed: October 12, 2022
    Publication date: April 18, 2024
    Inventors: Julien Frougier, Andrew M. Greene, Shogo Mochizuki, Ruilong Xie, Liqiao Qin, Gen Tsutsui, Nicolas Jean Loubet, Min Gyu Sung, Chanro Park, Kangguo Cheng, Heng Wu
  • Publication number: 20240128318
    Abstract: A semiconductor structure includes a backside contact, and a source/drain region fully disposed within the backside contact.
    Type: Application
    Filed: October 17, 2022
    Publication date: April 18, 2024
    Inventors: Ruilong Xie, Chanro Park, Min Gyu Sung, Kangguo Cheng, Julien Frougier
  • Publication number: 20240128331
    Abstract: A contact structure having reduced middle-of-the-line (MOL) resistance is provided that includes a source/drain contact which includes a liner and a via contact that is liner-less. The via contact includes a first via portion having a first critical dimension and a second via portion having a second critical dimension that is greater than the first critical dimension. The second critical dimension provides a maximized via contact bottom critical dimension over the source/drain contact, while the first critical dimension provides sufficient area between the first via portion of the via contact and a neighboring electrically conductive structure thus avoiding any shorts between those two elements.
    Type: Application
    Filed: October 13, 2022
    Publication date: April 18, 2024
    Inventors: Ruilong Xie, Chanro Park, Kangguo Cheng, Julien Frougier
  • Publication number: 20240128334
    Abstract: A semiconductor structure includes a backside contact, and an unmerged source/drain region. The backside contact is wrapped-around the unmerged source/drain region.
    Type: Application
    Filed: October 18, 2022
    Publication date: April 18, 2024
    Inventors: Ruilong Xie, Chanro Park, Min Gyu Sung, Kangguo Cheng, Julien Frougier
  • Patent number: 11961544
    Abstract: Embodiments of the invention include a method for fabricating a magnetoresistive random-access memory (MRAM) structure and the resulting structure. A first type of metal is formed on an interlayer dielectric layer with a plurality of embedded contacts, where the first type of metal exhibits spin Hall effect (SHE) properties. At least one spin-orbit torque (SOT) MRAM cell is formed on the first type of metal. One or more recesses surrounding the at least one SOT-MRAM cell are created by recessing exposed portions of the first type of metal. A second type of metal is formed in the one or more recesses, where the second type of metal has lower resistivity than the first type of metal.
    Type: Grant
    Filed: May 27, 2021
    Date of Patent: April 16, 2024
    Assignee: International Business Machines Corporation
    Inventors: Julien Frougier, Dimitri Houssameddine, Ruilong Xie, Kangguo Cheng
  • Patent number: 11963456
    Abstract: Embodiments of present invention provide a method of improving yield of making MRAM arrays. More specifically, the method includes receiving an MRAM array; identifying a weak MRAM cell from the MRAM array wherein the weak MRAM cell includes an access transistor; and modifying the access transistor. In one embodiment, modifying the access transistor includes performing a hot carrier injection into a gate dielectric layer of the access transistor.
    Type: Grant
    Filed: April 12, 2022
    Date of Patent: April 16, 2024
    Assignee: International Business Machines Corporation
    Inventors: Dimitri Houssameddine, Kangguo Cheng, Julien Frougier, Ruilong Xie
  • Patent number: 11963469
    Abstract: A phase change memory (PCM) cell comprising a substrate a first electrode located on the substrate. A phase change material layer located adjacent to the first electrode, wherein a first side of the phase change material layer is in direct contact with the first electrode. A second electrode located adjacent to phase change material layer, wherein the second electrode is in direct contact with a second side of the phase change material layer, wherein the first side and the second side are different sides of the phase change material layer. An airgap is located directly above the phase change material layer, wherein the airgap provides space for the phase change material to expand or restrict.
    Type: Grant
    Filed: May 15, 2023
    Date of Patent: April 16, 2024
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Ruilong Xie, Carl Radens, Juntao Li
  • Publication number: 20240120369
    Abstract: A semiconductor structure includes a capacitor structure at least partially disposed in a trench of an interlayer dielectric layer. The capacitor structure includes first and second electrode layers separated by a dielectric layer. A top surface of the first electrode layer is below a top surface of the second electrode layer and the dielectric layer. A spacer is disposed on the first electrode layer and a contact is disposed in the trench and connected to the second electrode layer and the spacer.
    Type: Application
    Filed: October 7, 2022
    Publication date: April 11, 2024
    Inventors: Ruilong Xie, Julien Frougier, Kangguo Cheng, Chanro Park, Min Gyu Sung
  • Publication number: 20240121966
    Abstract: A memory device includes a substrate and vertically stacked ferroelectric capacitors formed on the substrate. A first ferroelectric capacitor has a different capacitive output than a second ferroelectric capacitor when a constant voltage is applied. First and second electrodes are in electrical contact with the vertically stacked ferroelectric capacitors. In some instances, a first capacitor plate in the first ferroelectric capacitor and a second capacitor plate in the second ferroelectric capacitor have different thicknesses. The different thicknesses allow the capacitive output for each capacitor to produce different electric field outputs. Accordingly, a combination of different output signals can be produced based on different threshold voltage levels for each capacitor contributing to the output.
    Type: Application
    Filed: October 6, 2022
    Publication date: April 11, 2024
    Inventors: Julien Frougier, Kangguo Cheng, Ruilong Xie, Chanro Park, Min Gyu Sung
  • Publication number: 20240120408
    Abstract: Fabricating a nanosheet transistor includes receiving a substrate structure having a set of nanosheet layers stacked upon a substrate, the set of nanosheet layers including at least one silicon (Si) layer, at least one silicon-germanium (SiGe) layer, a fin formed in the nanosheet layers, a gate region formed within the fin, and a trench region adjacent to the fin. A top sacrificial spacer is formed upon the fin and the trench region and etched to form a trench in the trench region. An indentation is formed within the SiGe layer in the trench region, and a sacrificial inner spacer is formed within the indentation. A source/drain (S/D) region is formed within the trench. The sacrificial top spacer and sacrificial inner spacer are etched to form an inner spacer cavity between the S/D region and the SiGe layer. An inner spacer is formed within the inner spacer cavity.
    Type: Application
    Filed: May 9, 2023
    Publication date: April 11, 2024
    Inventors: Kangguo Cheng, Julien Frougier, Nicolas Loubet
  • Patent number: 11955526
    Abstract: An apparatus comprising a substrate and a thin gate oxide nanosheet device located on the substrate, having a first plurality of nanosheet layers, wherein each of the first plurality of nanosheet layers has a first thickness located at the center of the nanosheet. A thick gate oxide nanosheet device located on the substrate, having a second plurality of nanosheet layers, wherein each of the second plurality of nanosheet layers has a second thickness and wherein the first thickness is less than the second thickness.
    Type: Grant
    Filed: June 15, 2021
    Date of Patent: April 9, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Veeraraghavan S. Basker
  • Publication number: 20240113213
    Abstract: A semiconductor device including a channel region of stacked semiconductor layers arranged in at least one cluster, wherein each cluster includes a pair of the semiconductor sheets with a dielectric material present therebetween. The semiconductor device further includes a gate structure encapsulating the channel region of stacked semiconductor sheets arranged in clusters. Source and drain regions are present on opposing sides of the channel region.
    Type: Application
    Filed: September 30, 2022
    Publication date: April 4, 2024
    Inventors: Julien Frougier, Ruilong Xie, Kangguo Cheng, Andrew M. Greene, Sung Dae Suk
  • Publication number: 20240113125
    Abstract: A semiconductor chip device includes a substrate with a back end of line layer and a backside power delivery network. An input power line is electrically coupled to the backside power delivery network. Dummy transistors are positioned in a circuit with analog or digital circuit elements. A power gating transistor is positioned in the circuit between the dummy transistors and the analog or digital circuit elements. Power from the power input line is provided from the backside power delivery network, through the dummy transistors, and controlled by the power gating transistor for transfer to the analog or digital circuit elements. The device uses a backside delivery of power to the area of the dummy transistors to transfer power into the analog or digital circuit elements, which leaves more of the front side footprint for functional devices.
    Type: Application
    Filed: September 30, 2022
    Publication date: April 4, 2024
    Inventors: Tao Li, Ruilong Xie, Kangguo Cheng
  • Publication number: 20240113117
    Abstract: Embodiments of the present invention are directed to stacked field effect transistors (SFETs) having integrated vertical inverters. In a non-limiting embodiment, a first nanosheet is vertically stacked over a second nanosheet. A common gate is formed around a channel region of the first and second nanosheets. A top source or drain region is formed in direct contact with the first nanosheet and a bottom source or drain region is formed in direct contact with the second nanosheet. A first portion of the top source or drain region is shorted to a first portion of the bottom source or drain region to define a common source or drain region. A second portion of the top source or drain region is electrically coupled to a second portion of the bottom source or drain region in series through the first nanosheet, the common source or drain region, and the second nanosheet.
    Type: Application
    Filed: September 29, 2022
    Publication date: April 4, 2024
    Inventors: Min Gyu Sung, Julien Frougier, Kangguo Cheng, Ruilong Xie, Chanro Park
  • Publication number: 20240107900
    Abstract: A phase change memory structure with improved sidewall heater and formation thereof may be presented. Phase change materials are capable of being switched between a first structural state in which the material is in a generally amorphous solid phase, and a second structural state in which the material is in a generally crystalline solid phase in the active region of the cell. Presented herein may be a side wall heater, where the upper section extends through bilayer dielectric to contact a phase change material layer and the lower section of the sidewall heater has conductive layers in contact with the bottom electrode. The width of the sidewall heater may reflect an inverted T shape reducing the current requirement to reset the phase change material.
    Type: Application
    Filed: September 22, 2022
    Publication date: March 28, 2024
    Inventors: Juntao Li, Kangguo Cheng, Carl Radens, Ching-Tzu Chen
  • Patent number: 11942374
    Abstract: A semiconductor structure may include a first nanosheet field-effect transistor formed on a first portion of a substrate, a second nanosheet field-effect transistor formed on a second portion of the substrate, and one or more metal contacts. The first field-effect transistor formed on the first portion of a substrate may include a first source drain epitaxy. A top surface of the first source drain epitaxy may be above a top surface of a top-most nanosheet channel layer. The second nanosheet field-effect transistor formed on the second portion of the substrate may include a second source drain epitaxy and a third source drain epitaxy. The second source drain epitaxy may be below the third source drain epitaxy. The third source drain epitaxy may be u-shaped and may be connected to at least one nanosheet channel layer.
    Type: Grant
    Filed: June 17, 2021
    Date of Patent: March 26, 2024
    Assignee: International Business Machines Corporation
    Inventors: Ruilong Xie, Julien Frougier, Chanro Park, Kangguo Cheng
  • Publication number: 20240096949
    Abstract: A nanosheet diode includes a bookend structure and a central structure. The bookend includes a first semiconductor that is doped as one of the anode and the cathode of the diode, and includes a left block, a right block, and a first stack of spaced-apart nanosheets that horizontally connect the left and right blocks. The central structure includes a second semiconductor that is doped as the other of the anode and the cathode of the diode, and includes a front block, a rear block, and a second stack of nanosheets that are interleaved crosswise into spaces between the first stack of spaced-apart nanosheets and that horizontally connect the front and rear blocks. The bookend structure directly contacts top, bottom, and end surfaces of the second stack of nanosheets of the central structure.
    Type: Application
    Filed: September 15, 2022
    Publication date: March 21, 2024
    Inventors: Ruilong Xie, Kangguo Cheng, Julien Frougier, Chanro Park, Min Gyu Sung