Patents by Inventor Liu Huang

Liu Huang has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20060202343
    Abstract: A method of forming a dual damascene structure with improved performance is described. A first etch stop layer comprised of oxygen doped SiC is deposited on a SiC barrier layer to form a composite barrier/etch stop layer on a substrate. The remainder of the damascene stack is formed by sequentially depositing a first dielectric layer, a second oxygen doped SiC etch stop layer, and a second dielectric layer. A via and overlying trench are formed and filled with a diffusion barrier layer and a metal layer. The oxygen doped SiC layers have a lower dielectric constant than SiC or SiCN and a higher breakdown field than SiC. The etch selectivity of a C4F8/Ar etch for a SiCOH layer relative to the oxygen doped SiC layer is at least 6:1 because of a lower oxygen content in the oxygen doped SiC layer.
    Type: Application
    Filed: April 25, 2006
    Publication date: September 14, 2006
    Inventors: Liu Huang, John Sudijono, Koh Wee
  • Patent number: 7052932
    Abstract: A method of forming a dual damascene structure with improved performance is described. A first etch stop layer comprised of oxygen doped SiC is deposited on a SiC barrier layer to form a composite barrier/etch stop layer on a substrate. The remainder of the damascene stack is formed by sequentially depositing a first dielectric layer, a second oxygen doped SiC etch stop layer, and a second dielectric layer. A via and overlying trench are formed and filled with a diffusion barrier layer and a metal layer. The oxygen doped SiC layers have a lower dielectric constant than SiC or SIGN and a higher breakdown field than SiC. The etch selectivity of a C4F8/Ar etch for a SiCOH layer relative to the oxygen doped SiC layer is at least 6:1 because of a lower oxygen content in the oxygen doped SiC layer.
    Type: Grant
    Filed: February 24, 2004
    Date of Patent: May 30, 2006
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, John Sudijono, Koh Yee Wee
  • Publication number: 20050184295
    Abstract: A method of forming a dual damascene structure with improved performance is described. A first etch stop layer comprised of oxygen doped SiC is deposited on a SiC barrier layer to form a composite barrier/etch stop layer on a substrate. The remainder of the damascene stack is formed by sequentially depositing a first dielectric layer, a second oxygen doped SiC etch stop layer, and a second dielectric layer. A via and overlying trench are formed and filled with a diffusion barrier layer and a metal layer. The oxygen doped SiC layers have a lower dielectric constant than SiC or SIGN and a higher breakdown field than SiC. The etch selectivity of a C4F8/Ar etch for a SiCOH layer relative to the oxygen doped SiC layer is at least 6:1 because of a lower oxygen content in the oxygen doped SiC layer.
    Type: Application
    Filed: February 24, 2004
    Publication date: August 25, 2005
    Inventors: Liu Huang, John Sudijono, Koh Wee
  • Patent number: 6872633
    Abstract: A method of filling an STI feature with a dielectric material using a HDP CVD technique is described. By omitting an inert carrier gas like argon in the first CVD step, a small keyhole in a SiO2 layer is formed near the top of the trench. A sputter etch step in the same CVD chamber then removes dielectric material above the keyhole. A second CVD step completely fills the STI trench which is free of voids and forms a layer above the adjacent nitride layer. The nitride layer serves as an etch stop during a CMP step to lower the level of dielectric material until it is coplanar with the nitride layer. The method is low cost since all deposition and sputter etch steps are performed in an existing CVD tool and the same tool is useful in forming trenches of various sizes ranging from below 0.13 micron to above 0.25 micron.
    Type: Grant
    Filed: May 31, 2002
    Date of Patent: March 29, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, John Sudijono
  • Patent number: 6787452
    Abstract: An improved method of controlling a critical dimension during a photoresist patterning process is provided which can be applied to forming vias and trenches in a dual damascene structure. An amorphous carbon ARC is deposited on a substrate by a PECVD method. Preferred conditions are a RF power from 50 to 500 Watts, a bias of 500 to 2000 Watts, a chamber and substrate temperature of 300° C. to 400° C. with a trimethylsilane flow rate of 50 to 200 sccm, a helium flow rate of 100 to 1000 sccm, and an argon flow rate of 50 to 200 sccm. Argon plasma imparts an amorphous character to the film. The refractive index (n and k) can be tuned for a variety of photoresist applications including 193 nm, 248 nm, and 365 nm exposures. The &agr;-carbon layer provides a high etch selectivity relative to oxide and can be easily removed with a plasma etch.
    Type: Grant
    Filed: November 8, 2002
    Date of Patent: September 7, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: John Sudijono, Liang Choo Hsia, Liu Huang
  • Publication number: 20040092098
    Abstract: An improved method of controlling a critical dimension during a photoresist patterning process is provided which can be applied to forming vias and trenches in a dual damascene structure. An amorphous carbon ARC is deposited on a substrate by a PECVD method. Preferred conditions are a RF power from 50 to 500 Watts, a bias of 500 to 2000 Watts, a chamber and substrate temperature of 300° C. to 400° C. with a trimethylsilane flow rate of 50 to 200 sccm, a helium flow rate of 100 to 1000 sccm, and an argon flow rate of 50 to 200 sccm. Argon plasma imparts an amorphous character to the film. The refractive index (n and k) can be tuned for a variety of photoresist applications including 193 nm, 248 nm, and 365 nm exposures. The a-carbon layer provides a high etch selectivity relative to oxide and can be easily removed with a plasma etch.
    Type: Application
    Filed: November 8, 2002
    Publication date: May 13, 2004
    Applicant: Chartered Semiconductor Manufacturing Ltd.
    Inventors: John Sudijono, Liang Choo Hsia, Liu Huang
  • Publication number: 20040077181
    Abstract: A method of forming phoslon (PNO) comprising the following steps. A CVD reaction chamber having a reaction temperature of from about 300 to 600° C. is provided. From about 10 to 200 sccm PH3 gas, from about 50 to 4000 sccm N2 gas and from about 50 to 1000 sccm NH3 gas are introduced into the CVD reaction chamber. Either from about 10 to 200 sccm O2 gas or from about 50 to 1000 sccm N2O gas is introduced into the CVD reaction chamber. An HFRF power of from about 0 watts to 4 kilowatts is also employed. An LFRF power of from about 0 to 5000 watts may also be employed. Employing a phoslon etch stop layer in a borderless contact fabrication. Employing a phoslon lower etch stop layer and/or a phoslon middle etch stop layer in a dual damascene fabrication.
    Type: Application
    Filed: October 22, 2002
    Publication date: April 22, 2004
    Applicant: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Hsia Liang Choo, John Sudijono, Liu Huang, Tan Juan Boon
  • Publication number: 20040005781
    Abstract: A new method of forming shallow trench isolations is described. An isolation trench is etched into a substrate. A silicon-rich oxide liner layer is deposited overlying the substrate and within the isolation trench using a high density plasma chemical vapor deposition process (HDP-CVD). Then, an oxide layer is deposited by HDP-CVD overlying the silicon-rich oxide liner layer and filling the trench to complete fabrication of said shallow trench isolation region in the manufacture of the integrated circuit device. The silicon-rich oxide liner layer is of high quality and has a high wet etch rate thereby minimizing divots formed during cleaning steps.
    Type: Application
    Filed: July 2, 2002
    Publication date: January 8, 2004
    Applicant: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, Han Sang Hyun, John Sudijono, Jia Zhen Zheng, Alan Cuthbertson
  • Publication number: 20030235980
    Abstract: A method of fabricating at least one damascene opening comprising the following steps. A structure having at least one exposed conductive structure is provided. A dielectric barrier layer over the structure and the at least one exposed conductive structure. A lower low-k dielectric layer is formed over the dielectric barrier layer. An upper low-k dielectric layer is formed over the lower low-k dielectric layer. An SRO etch stop layer is formed between the lower low-k dielectric layer and the upper low-k dielectric layer and/or an SRO hard mask layer is formed over the upper low-k dielectric layer. At least the upper and lower low-k dielectric layers are patterned to form the at least one damascene opening exposing at least a portion of the at least one conductive structure, wherein the at least one SRO layer has a high etch selectivity relative to the lower and upper low-k dielectric layers.
    Type: Application
    Filed: June 20, 2002
    Publication date: December 25, 2003
    Applicant: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, John Sudijono, Simon Chooi
  • Publication number: 20030224580
    Abstract: A method of filling an STI feature with a dielectric material using a HDP CVD technique is described. By omitting an inert carrier gas like argon in the first CVD step, a small keyhole in a SiO2 layer is formed near the top of the trench. A sputter etch step in the same CVD chamber then removes dielectric material above the keyhole. A second CVD step completely fills the STI trench which is free of voids and forms a layer above the adjacent nitride layer. The nitride layer serves as an etch stop during a CMP step to lower the level of dielectric material until it is coplanar with the nitride layer. The method is low cost since all deposition and sputter etch steps are performed in an existing CVD tool and the same tool is useful in forming trenches of various sizes ranging from below 0.13 micron to above 0.25 micron.
    Type: Application
    Filed: May 31, 2002
    Publication date: December 4, 2003
    Applicant: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Liu Huang, John Sudijono
  • Publication number: 20030092284
    Abstract: A method and apparatus for preventing plasma induced damage resulting from high density plasma deposition processes. In the present embodiment, Un-doped Silica Glass(USG) is deposited so as to form a USG liner. In the present embodiment, the USG liner directly overlies a conductive interconnect structure that couples to semiconductor devices that are susceptible to plasma-induced damage during high density plasma deposition processes. A silicon-rich oxide is deposited in-situ immediately following the deposition of the USG liner so as to form a silicon-rich oxide liner that directly overlies the USG liner. The silicon-rich oxide liner protects the interconnect structure during the subsequent high density plasma deposition process, preventing damage resulting from plasma charge to the interconnect structure.
    Type: Application
    Filed: November 13, 2001
    Publication date: May 15, 2003
    Applicant: CHARTERED SEMICONDUCTOR MANUFACTURED LIMITED
    Inventors: Liu Huang, John Suodijono