Patents by Inventor Meihua Shen

Meihua Shen has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20070202700
    Abstract: Methods for forming anisotropic features for high aspect ratio application in etch process are provided in the present invention. The methods described herein advantageously facilitates profile and dimension control of features with high aspect ratios through a sidewall passivation management scheme. In one embodiment, sidewall passivations are managed by selectively forming an oxidation passivation layer on the sidewall and/or bottom of etched layers. In another embodiment, sidewall passivation is managed by periodically clearing the overburden redeposition layer to preserve an even and uniform passivation layer thereon. The even and uniform passivation allows the features with high aspect ratios to be incrementally etched in a manner that pertains a desired depth and vertical profile of critical dimension in both high and low feature density regions on the substrate without generating defects and/or overetching the underneath layers.
    Type: Application
    Filed: February 27, 2006
    Publication date: August 30, 2007
    Inventors: Uwe Leucke, Meihua Shen, Guangxiang Jin, Xikun Wang, Wei Liu, Scott Williams
  • Publication number: 20070199922
    Abstract: Methods for forming anisotropic features for high aspect ratio application in etch process are provided in the present invention. The methods described herein advantageously facilitates profile and dimension control of features with high aspect ratios through a sidewall passivation management scheme. In one embodiment, sidewall passivations are managed by selectively forming an oxidation passivation layer on the sidewall and/or bottom of etched layers. In another embodiment, sidewall passivation is managed by periodically clearing the overburden redeposition layer to preserve an even and uniform passivation layer thereon. The even and uniform passivation allows the features with high aspect ratios to be incrementally etched in a manner that pertains a desired depth and vertical profile of critical dimension in both high and low feature density regions on the substrate without generating defects and/or overetching the underneath layers.
    Type: Application
    Filed: February 27, 2006
    Publication date: August 30, 2007
    Inventors: Meihua Shen, Uwe Leucke, Guangxiang Jin, Xikun Wang, Wei Liu, Scott Williams
  • Publication number: 20070161255
    Abstract: Methods are provided for processing a substrate by depositing a hardmask material on a surface of the substrate, depositing an anti-reflective coating on the hardmask material, depositing a resist material on the anti-reflective coating, patterning the resist material to form a first resist features having a first width to expose the anti-reflective coating, etching the anti-reflective coating and a first portion of the hardmask material, and trimming the resist material to form a second resist feature having a second width less than the first width.
    Type: Application
    Filed: January 5, 2007
    Publication date: July 12, 2007
    Inventors: Wilfred Pau, Meihua Shen
  • Publication number: 20070042603
    Abstract: Embodiments of the invention generally provide methods for etching a substrate. In one embodiment, the method includes determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate, preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate, and etching the substrate on the preferentially regulated substrate support. In another embodiment, the method includes providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set, etching a first layer of material and etching a second layer of material respectively using different control parameter sets.
    Type: Application
    Filed: March 2, 2006
    Publication date: February 22, 2007
    Inventors: Thomas Kropewnicki, Theodoros Panagopoulos, Nicolas Gani, Wilfred Pau, Meihua Shen, John Holland
  • Publication number: 20070010099
    Abstract: Disclosed herein is a method of pattern etching a layer of a silicon-containing dielectric material. The method employs a plasma source gas including CF4 to CHF3, where the volumetric ratio of CF4 to CHF3 is within the range of about 2:3 to about 3:1; more typically, about 1:1 to about 2:1. Etching is performed at a process chamber pressure within the range of about 4 mTorr to about 60 mTorr. The method provides a selectivity for etching a silicon-containing dielectric layer relative to photoresist of 1.5:1 or better. The method also provides an etch profile sidewall angle ranging from 88° to 92° between said etched silicon-containing dielectric layer and an underlying horizontal layer in the semiconductor structure. The method provides a smooth sidewall when used in combination with certain photoresists which are sensitive to 193 nm radiation.
    Type: Application
    Filed: August 9, 2006
    Publication date: January 11, 2007
    Inventors: Yan Du, Meihua Shen, Shashank Deshmukh
  • Publication number: 20060252265
    Abstract: An apparatus and a method for etching high dielectric constant (high-?) materials using halogen containing gas and reducing gas chemistries are provided. One embodiment of the method is accomplished by etching a layer using two etch gas chemistries in separate steps. The first etch gas chemistry contain no oxygen containing gas in order to break through etching of the high dielectric constant materials, to dean any residues left from previous polysilicon etch process resulting in less high-? foot, and also to control silicon recess problem associated with an underlying silicon oxide layer. The second over-etch gas chemistry provides a high etch selectivity for high dielectric constant materials over silicon oxide materials to be combined with low source power to further reduce silicon substrate oxidation problem.
    Type: Application
    Filed: May 11, 2005
    Publication date: November 9, 2006
    Inventors: Guangxiang Jin, Meihua Shen
  • Publication number: 20060231524
    Abstract: A method of etching a substrate is provided. The method of etching a substrate includes transferring a pattern into the substrate using a double patterned amorphous carbon layer on the substrate as a hardmask. Optionally, a non-carbon based layer is deposited on the amorphous carbon layer as a capping layer before the pattern is transferred into the substrate.
    Type: Application
    Filed: June 2, 2006
    Publication date: October 19, 2006
    Inventors: Wei Liu, Jim He, Sang Ahn, Meihua Shen, Hichem M'Saad, Wendy Yeh, Christopher Bencher
  • Patent number: 7064078
    Abstract: A method of etching a substrate is provided. The method of etching a substrate includes transferring a pattern into the substrate using a double patterned amorphous carbon layer on the substrate as a hardmask. Optionally, a non-carbon based layer is deposited on the amorphous carbon layer as a capping layer before the pattern is transferred into the substrate.
    Type: Grant
    Filed: January 30, 2004
    Date of Patent: June 20, 2006
    Assignee: Applied Materials
    Inventors: Wei Liu, Jim Zhongyi He, Sang H. Ahn, Meihua Shen, Hichem M'Saad, Wendy H. Yeh, Chistopher D. Bencher
  • Patent number: 6933243
    Abstract: Methods for etching electrodes formed directly on gate dielectrics are provided. In one aspect, an etch process is provided which includes a main etch step, a soft landing step, and an over etch step. In another aspect, a method is described which includes performing a main etch having good etch rate uniformity and good profile uniformity, performing a soft landing step in which a metal/metal barrier interface can be determined, and performing an over etch step to selectively remove the metal barrier without negatively affecting the dielectric. In another aspect, a method is provided which includes a first non-selective etch chemistry for bulk removal of electrode material, a second intermediate selective etch chemistry with end point capability, and then a selective etch chemistry to stop on the gate dielectric.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: August 23, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Meihua Shen, Yan Du, Nicolas Gani, Oranna Yauw, Hakeem M. Oluseyi
  • Publication number: 20050167394
    Abstract: A method of etching a substrate is provided. The method of etching a substrate includes transferring a pattern into the substrate using a double patterned amorphous carbon layer on the substrate as a hardmask. Optionally, a non-carbon based layer is deposited on the amorphous carbon layer as a capping layer before the pattern is transferred into the substrate.
    Type: Application
    Filed: January 30, 2004
    Publication date: August 4, 2005
    Inventors: Wei liu, Jim He, Sang Ahn, Meihua Shen, Hichem M'Saad, Wendy Yeh, Chistopher Bencher
  • Patent number: 6797188
    Abstract: A method of etching a silicon-containing material in a substrate comprises placing the substrate in a process chamber and exposing the substrate to an energized gas comprising fluorine-containing gas, chlorine-containing gas and sidewall-passivation gas. The silicon-containing material on the substrate comprises regions having different compositions, and the volumetric flow ratio of the fluorine-containing gas, chlorine-containing gas, and sidewall-passivation gas is selected to etch the compositionally different regions at substantially similar etch rates.
    Type: Grant
    Filed: February 18, 2000
    Date of Patent: September 28, 2004
    Inventors: Meihua Shen, Wei-nan Jiang, Oranna Yauw, Jeffrey Chinn
  • Patent number: 6784110
    Abstract: In a method of etching a substrate, a substrate is provided in a process zone, the substrate having a pattern of features comprising dielectric covering semiconductor. In a first stage, an energized first etching gas is provided in the process zone, the energized first etching gas having a first selectivity of etching dielectric to semiconductor of at least about 1.8:1, wherein the dielectric is etched preferentially to the semiconductor to etch through the dielectric to at least partially expose the semiconductor. In a second stage, an energized second etching gas is provided in the process zone, the energized second etching gas having a second selectivity of etching dielectric to semiconductor of less than about 1:1.8, wherein the semiconductor is etched preferentially to the dielectric.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: August 31, 2004
    Inventors: Jianping Wen, Meihua Shen, Hung-Kwei Hu
  • Publication number: 20040152331
    Abstract: The present invention provides a process of etching polysilicon gates using a silicon dioxide hard mask. The process includes exposing a substrate with a polysilicon layer formed thereon to a plasma of a process gas, which includes a base gas and an additive gas. The base gas includes HBr, Cl2, O2, and the additive gas is NF3 and/or N2. By changing a volumetric flow ratio of the additive gas to the base gas, the etch rate selectivity of polysilicon to silicon dioxide may be increased, which allows for a thinner hard mask, better protection of the gate oxide layer, and better endpoint definition and control. Additionally, when the polysilicon layer includes both N-doped and P-doped regions, the additive gas includes both NF3 and N2, and by changing a volumetric flow ratio of NF3 to N2, the etching process may be tailored to provide optimal results in N/P loading and microloading.
    Type: Application
    Filed: September 11, 2003
    Publication date: August 5, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Songlin Xu, Thorsten B. Lill, Yeajer Arthur Chen, Mohit Jain, Nicolas Gani, Shing-Li Sung, Jitske K. Kretz, Meihua Shen, Farid Abooameri
  • Publication number: 20040087153
    Abstract: Disclosed herein is a method of pattern etching a layer of a silicon-containing dielectric material. The method employs a plasma source gas including CF4 to CHF3, where the volumetric ratio of CF4 to CHF3 is within the range of about 2:3 to about 3:1; more typically, about 1:1 to about 2:1. Etching is performed at a process chamber pressure within the range of about 4 mTorr to about 60 mTorr. The method provides a selectivity for etching a silicon-containing dielectric layer relative to photoresist of 1.5:1 or better. The method also provides an etch profile sidewall angle ranging from 88° to 92° between said etched silicon-containing dielectric layer and an underlying horizontal layer. in the semiconductor structure. The method provides a smooth sidewall when used in combination with certain photoresists which are sensitive to 193 nm radiation.
    Type: Application
    Filed: October 31, 2002
    Publication date: May 6, 2004
    Inventors: Yan Du, Meihua Shen, Shashank Deshmukh
  • Publication number: 20040084411
    Abstract: Disclosed herein is a method of pattern etching a layer of a silicon-containing dielectric material. The method employs a plasma source gas comprising CH2F2, CF4, and O2, where a volumetric ratio of CH2F2 to CF4 is within the range of about 1:2 to about 3:1, and where O2 comprises about 2 to about 20 volume % of the plasma source gas. Etching is performed at a process chamber pressure within the range of about 4 mTorr to about 10 mTorr. The method provides a selectivity for etching a silicon-containing dielectric layer relative to photoresist of at least 2:1. The method also provides an etch profile sidewall angle ranging from about 84° to about 90° between the etched silicon-containing dielectric layer and an underlying horizontal layer in a semiconductor structure.
    Type: Application
    Filed: October 31, 2002
    Publication date: May 6, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Yan Du, Shashank Deshmukh, Meihua Shen, Steven Jones
  • Publication number: 20040063328
    Abstract: In a method of etching a substrate, a substrate is provided in a process zone, the substrate having a pattern of features comprising dielectric covering semiconductor. In a first stage, an energized first etching gas is provided in the process zone, the energized first etching gas having a first selectivity of etching dielectric to semiconductor of at least about 1.8:1, wherein the dielectric is etched preferentially to the semiconductor to etch through the dielectric to at least partially expose the semiconductor. In a second stage, an energized second etching gas is provided in the process zone, the energized second etching gas having a second selectivity of etching dielectric to semiconductor of less than about 1:1.8, wherein the semiconductor is etched preferentially to the dielectric.
    Type: Application
    Filed: October 1, 2002
    Publication date: April 1, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Jianping Wen, Meihua Shen, Hung-Kwei Hu
  • Publication number: 20040018742
    Abstract: The present invention includes a method for patterning a bilayer resist having a patterned upper resist layer over a lower resist layer formed on a substrate. In one embodiment of the present invention, the method includes an optional upper resist layer trimming step, an upper resist layer treatment step, and a lower resist layer etching step. In the upper resist layer trimming step, the upper resist layer is trimmed in a plasma of a first process gas. In the upper resist layer treatment step, the upper resist layer is treated in a plasma of a second process gas to increase its etch resistance during the subsequent lower resist layer etching step. In the lower resist etching step, the lower resist layer is etched in a plasma of a third process gas, using the upper resist layer as a mask.
    Type: Application
    Filed: March 4, 2003
    Publication date: January 29, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Jim Zhongyi He, Meihua Shen, Hong Du, Scott M. Williams
  • Publication number: 20040018739
    Abstract: One embodiment of the present invention is a method used to fabricate an integrated circuit device on a wafer or substrate at a stage where a gate oxide is disposed over the wafer or substrate, a polysilicon layer is disposed thereover, a patterned hardmask is disposed thereover, a patterned antireflective coating is disposed thereover, and a patterned photoresist is disposed thereover, the method including steps of: (a) before stripping the photoresist, etching the polysilicon utilizing a first etch chemistry for a first period of time; and (b) etching the polysilicon utilizing a second etch chemistry for a second period of time.
    Type: Application
    Filed: July 26, 2002
    Publication date: January 29, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Farid Abooameri, Shashank C. Deshmukh, Meihua Shen, Stephanie S. Cheng, Nicolas Gani, Thorsten B. Lill
  • Publication number: 20040018741
    Abstract: One embodiment of the present invention is an etching method for use in fabricating an integrated circuit device on a wafer or substrate in an inductively coupled plasma reactor in a passivation-driven etch chemistry, which method includes steps of: (a) providing a passivation-driven etch chemistry precursor in a chamber of the reactor wherein a first coil is disposed to supply energy primarily to an outer portion of the chamber and a second coil is disposed to supply energy primarily to an inner portion of the chamber; and (b) providing power to the first coil and the second coil in a ratio of power supplied to the first coil and power supplied to the second coil greater than 1.
    Type: Application
    Filed: July 26, 2002
    Publication date: January 29, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Shashank C. Deshmukh, Steven J. Jones, Meihua Shen, Thorsten B. Lill, John P. Holland, Michael Barnes, Dragan V. Podlesnik
  • Patent number: 6635573
    Abstract: We have discovered a method of detecting the approach of an endpoint during the etching of a material within a recess such as a trench or a contact via. The method provides a clear and distinct inflection endpoint signal, even for areas of a substrate containing isolated features. The method includes etching the material in the recess and using thin film interferometric endpoint detection to detect an endpoint of the etch process, where the interferometric incident light beam wavelength is tailored to the material being etched; the spot size of the substrate illuminated by the light beam is sufficient to provide adequate signal intensity from the material being etched; and the refractive index of the material being etched is sufficiently different from the refractive index of other materials contributing to reflected light from the substrate, that the combination of the light beam wavelength, the spot size, and the difference in refractive index provides a clear and distinct endpoint signal.
    Type: Grant
    Filed: October 29, 2001
    Date of Patent: October 21, 2003
    Assignee: Applied Materials, Inc
    Inventors: Wilfred Pau, Meihua Shen, Jeffrey D. Chinn