Patents by Inventor Minh Van Ngo

Minh Van Ngo has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6770905
    Abstract: An organic memory cell having a CuX layer made by implantation is disclosed. The organic memory cell is made of two electrodes, at least one containing copper, with a controllably conductive media between the two electrodes. The controllably conductive media contains an organic semiconductor layer and CuX layer made by implantation of a Group VIB element.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: August 3, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew S. Buynoski, Suzette K. Pangrle, Sergey D. Lopatin, Minh Van Ngo
  • Patent number: 6770523
    Abstract: A method of manufacturing an integrated circuit is provided having a semiconductor wafer. A chemical-mechanical polishing stop layer is deposited on the semiconductor wafer and a first photoresist layer is processed over the chemical-mechanical polishing stop layer. The chemical-mechanical polishing stop layer and the semiconductor wafer are patterned to form a shallow trench and a shallow trench isolation material is deposited on the chemical-mechanical polishing stop layer and in the shallow trench. A second photoresist layer is processed over the shallow trench isolation material leaving the shallow trench uncovered. The uncovered shallow trench is then treated to become a chemical-mechanical polishing stop area. The shallow trench isolation material is then chemical-mechanical polished to be co-planar with the chemical-mechanical stop layer and the chemical-mechanical polishing stop treated area.
    Type: Grant
    Filed: July 2, 2002
    Date of Patent: August 3, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Kashmir S. Sahota, Jeffrey P. Erhardt, Arvind Halliyal, Minh Van Ngo, Krishnashree Achuthan
  • Publication number: 20040147117
    Abstract: Low-k ILDs are protected from degradation during damascene processing by depositing a thin, conformal silicon carbide liner with a silicon-rich surface before barrier metal layer deposition. Embodiments include forming a dual damascene opening in porous low-k dielectric layers, depositing a thin silicon carbide liner with a silicon-rich surface lining the opening, depositing a barrier metal layer, such as a Ta/TaN composite, and filling the opening with Cu.
    Type: Application
    Filed: January 20, 2004
    Publication date: July 29, 2004
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Steven C. Avanzino, John E. Sanchez, Suzette K. Pangrle
  • Patent number: 6764951
    Abstract: The electromigration resistance of nitride capped Cu lines is significantly improved by treating the exposed planarized surface of inlaid Cu with a plasma containing NH3, depositing a silicon nitride capping layer at reduced temperatures, and then laser thermal annealing in N2 to densify the silicon nitride capping layer. The resulting silicon nitride capping layer also exhibits improved barrier resistance to Cu migration and improved etch stop properties. Embodiments include Cu dual damascene structures formed in dielectric material dielectric constant (k) less than about 3.9.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Minh van Ngo
  • Patent number: 6764966
    Abstract: A semiconductor device formed on a semiconductor substrate having an active region and a method of making the same is disclosed. The semiconductor device includes a dielectric layer interposed between a gate electrode and the semiconductor substrate. Further, the semiconductor device includes graded dielectric constant spacers formed on sidewalls of the dielectric layer, sidewalls of the gate electrode and portions of an upper surface of the semiconductor substrate. The dielectric constant of the graded dielectric constant spacers decreases in a direction away from the sidewalls of the dielectric layer.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William George En, Arvind Halliyal, Ming-Ren Lin, Minh Van Ngo, Chih-Yuh Yang
  • Patent number: 6764898
    Abstract: The present invention relates to a process of fabricating a semiconductor device, including steps of providing a semiconductor wafer; depositing on the semiconductor wafer at least one layer comprising a high-K dielectric material layer; and subsequently removing a selected portion of the at least one layer comprising a high-K dielectric material by implanting ions into the selected portion, and removing the selected portion by etching. As a result of the implantation, the etch rate of the selected portion is increased relative to an etch rate without the implanting.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William G. En, Joong S. Jeon, Minh Van Ngo, Ming-Ren Lin
  • Publication number: 20040137742
    Abstract: A method of manufacturing an integrated circuit (IC) utilizes a shallow trench isolation (STI) technique. The shallow trench isolation technique is used in strained silicon (SMOS) process. The liner for the trench is formed to in a low temperature process which reduces germanium outgassing. The low temperature process can be a UVO, ALD, CVD, PECVD, or HDP process.
    Type: Application
    Filed: January 14, 2003
    Publication date: July 15, 2004
    Applicant: Advanced Micro Devices, Inc.
    Inventors: Minh-Van Ngo, Qi Xiang, Paul R. Besser, Eric N. Paton, Ming-Ren Lin
  • Patent number: 6762454
    Abstract: A semiconductor device formed on a semiconductor substrate having an active region and a method of making the same is disclosed. The semiconductor device includes a stacked polysilicon layer formed on a dielectric layer. The stacked polysilicon layer inhibits the diffusion of boron in the dielectric layer and the penetration of boron into the dielectric layer and the semiconductor substrate.
    Type: Grant
    Filed: April 8, 2002
    Date of Patent: July 13, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Effiong Ibok, Joong S. Jeon, Arvind Halliyal, Minh Van Ngo
  • Patent number: 6746971
    Abstract: An organic memory cell made of two electrodes with a controllably conductive media between the two electrodes is disclosed. The controllably conductive media contains an organic semiconductor layer and passive layer. The controllably conductive media changes its impedance when an external stimuli such as an applied electric field is imposed thereon. Methods of making the organic memory devices/cells, methods of using the organic memory devices/cells, and devices such as computers containing the organic memory devices/cells are also disclosed.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: June 8, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Sergey D. Lopatin, Suzette K. Pangrle, Nicholas H. Tripsas, Hieu T. Pham
  • Patent number: 6743310
    Abstract: The adhesion of a capping layer, e.g., silicon nitride, to inlaid Cu is improved with an attendant reduction in hillock formation and, hence, improvement in electromigration resistance, by laser thermal annealing the exposed surface of the inlaid Cu after CMP to remove copper oxide therefrom. Embodiments include laser thermal annealing in NH3 or H2 at a temperature of about 370° to about 420° for a short period of time, e.g., about 10 to about 100 nanoseconds, to remove the copper oxide. Embodiments also include sequentially and contiguously laser thermal annealing the exposed planarized surface of inlaid Cu, ramping up the introduction of SiH4 and then initiating (PECVD) of a silicon nitride capping layer. Embodiments also include Cu dual damascene structures formed in dielectric material having a dielectric constant (k) less than about 3.9.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: June 1, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Minh Van Ngo
  • Patent number: 6730587
    Abstract: Nickel silicidation of a gate electrode is controlled using a titanium barrier layer. Embodiments include forming a gate electrode structure comprising a lower polycrystalline silicon layer, a layer of titanium thereon and an upper polycrystalline silicon layer on the titanium layer, depositing a layer of nickel and silicidizing, whereby the upper polycrystalline silicon layer is converted to nickel silicide and a titanium silicide barrier layer is formed preventing nickel from reacting with the lower polycrystalline silicon layer.
    Type: Grant
    Filed: December 7, 2000
    Date of Patent: May 4, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jacques J. Bertrand, Christy Mei-Chu Woo, Minh Van Ngo, George Kluth
  • Patent number: 6731006
    Abstract: A semiconductor device and method of making the same includes a first metallization level, a first etch stop layer, a dielectric layer and an opening extending through the dielectric layer and the first etch stop layer. The first etch stop layer is disposed over the first metallization level. Metal within the opening forms a second metal feature, and the metal can comprise copper or a copper alloy. Dopants are introduced into the metal and are activated by laser thermal annealing. A concentration of the dopants within the metal in a lower portion of the second metal feature proximate the first metal feature is greater than a concentration of dopants in a central portion of the second metal feature, and a concentration of the dopants within the metal in an upper portion of the second metal feature is greater than a concentration of dopants in the central portion of the second metal feature.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: May 4, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Arvind Halliyal, Minh Van Ngo
  • Patent number: 6727560
    Abstract: A metal gate electrode is formed with an intrinsic electric field to modify its work function and the threshold voltage of the transistor. Embodiments include forming an opening in a dielectric layer by removing a removable gate, depositing one or more layers of tantalum nitride such that the nitrogen content increases from the bottom of the layer adjacent the gate dielectric layer upwardly. Other embodiments include forming the intrinsic electric field to control the work function by doping one or more metal layers and forming metal alloys. Embodiments further include the use of barrier layers when forming metal gate electrodes.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: April 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: James N. Pan, Paul R. Besser, Christy Woo, Minh Van Ngo, Jinsong Yin
  • Patent number: 6727176
    Abstract: Reliable Cu interconnects are formed by filling an opening in a dielectric layer with Cu and then laser thermal annealing in NH3 to reduce copper oxide and to reflow the deposited Cu, thereby eliminating voids and reducing contact resistance. Embodiments include laser thermal annealing employing an NH3 flow rate of about 200 to about 2,000 sccn.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: April 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Arvind Halliyal, Eric Paton
  • Patent number: 6723634
    Abstract: Semiconductor devices comprising interconnects with improved adhesion of barrier layers to dielectric layers are formed by laser thermal annealing, in N2 and H2, exposed surfaces of a dielectric layer defining an opening, and then depositing Ta to form a composite layer lining the opening. Embodiments include forming a dual damascene opening in an interlayer dielectric comprising F-containing dielectric material, such as F-silicon oxide derived from F-TEOS, impinging a pulsed laser light beam on exposed surfaces of the F-silicon oxide defining the opening in a flow of N2 and H2, and then depositing Ta to form a composite barrier layer comprising graded tantalum nitride and &agr;-Ta lining the opening. Laser thermal annealing in N2 and H2 depletes the exposed silicon oxide surfaces of F while enriching the surfaces with N2. Deposited Ta reacts with the N2 in the N2-enriched surface region to form a composite barrier layer comprising a graded layer of tantalum nitride and a layer of &agr;-Ta thereon.
    Type: Grant
    Filed: March 14, 2002
    Date of Patent: April 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Dawn Hopper
  • Patent number: 6723635
    Abstract: Low-k ILDs are protected from degradation during damascene processing by depositing a thin, conformal silicon carbide liner with a silicon-rich surface before barrier metal layer deposition. Embodiments include forming a dual damascene opening in porous low-k dielectric layers, depositing a thin silicon carbide liner with a silicon-rich surface lining the opening, depositing a barrier metal layer, such as a Ta/TaN composite, and filling the opening with Cu.
    Type: Grant
    Filed: April 4, 2002
    Date of Patent: April 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Steven C. Avanzino, John E. Sanchez, Jr., Suzette K. Pangrle
  • Patent number: 6724051
    Abstract: A MOSFET semiconductor device includes a substrate, a gate electrode, a gate oxide, first and second sidewall spacers, and nickel silicide layers. The gate oxide is disposed between the gate electrode and the substrate, and the substrate includes source/drain regions. The gate electrode has first and second opposing sidewalls, and the first and second sidewall spacers are respectively disposed adjacent the first and second sidewalls. The first and second sidewall spacers are formed from a low-K spacer material that is substantially non-reactive with nickel, for example, SiHC, hydrogen silsesquioxane and methyl silsesquioxane. The nickel silicide layers are disposed on the source/drain regions and the gate electrode. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: April 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, Minh Van Ngo, George Jonathan Kluth
  • Patent number: 6720225
    Abstract: A method of manufacturing a MOSFET semiconductor device comprises providing a gate electrode having first and second opposing sidewalls over a substrate having source/drain regions; providing a gate oxide between the gate electrode and the substrate; forming first and second sidewall spacers respectively disposed adjacent the first and second sidewalls; pre-cleaning the sidewall spacers; forming a nickel layer; and forming nickel silicide layers disposed on the source/drain regions and the gate electrode. The nickel silicide layers are formed during a rapid thermal anneal at temperatures from about 380 to 600° C. The pre-clean uses a hydrogen reactive system in an atmosphere comprising hydrogen and helium. Also, the pre-clean and the formation of the nickel layer are sequentially performed in a single physical vapor deposition chamber system.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: April 13, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, Minh Van Ngo
  • Publication number: 20040063310
    Abstract: Semiconductor devices comprising interconnect with improved adhesion of barrier layers to dielectric layers are formed by laser thermal annealing exposed surfaces of a dielectric layer in an atmosphere of NH3 and N2, and subsequently depositing Ta to form a composite barrier layer. Embodiments include forming a dual damascene opening in an interlayer dielectric comprising F-containing silicon oxide, such as F-containing silicon oxide derived from F-TEOS, laser thermal annealing the exposed silicon oxide surface in NH3 and N2, depositing Ta and then filling the opening with Cu. Laser thermal annealing in NH3 and N2 depletes the exposed silicon oxide surface of F while forming an N2-rich surface region. Deposited Ta reacts with the N2 in the N2-rich surface region to form a composite barrier layer comprising a graded layer of tantalum nitride and a layer of &agr;-Ta thereon.
    Type: Application
    Filed: September 16, 2003
    Publication date: April 1, 2004
    Applicant: ADVANCED MICRO DEVICES, INC.
    Inventors: Minh Van Ngo, Dawn Hopper
  • Patent number: 6713874
    Abstract: Degradation of organic-doped silica glass low-k inter-layer dielectrics during fabrication is significantly reduced and resolution of submicron features is improved by the formation of dual nature capping/ARC layers on inter-layer dielectric films. The capping/ARC layer is formed in-situ on the organic-doped silica glass inter-layer dielectric. The in-situ formation of the capping/ARC layer provides a strongly adhered capping/ARC layer, formed with fewer processing steps than conventional capping and ARC layers.
    Type: Grant
    Filed: March 27, 2001
    Date of Patent: March 30, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn M. Hopper, Lu You, Minh Van Ngo