Patents by Inventor Minh Van Ngo

Minh Van Ngo has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6627973
    Abstract: A method of eliminating voids in the interlayer dielectric material of 0.18-&mgr;m flash memory semiconductor devices and a semiconductor device formed by the method. The present invention provides a method for eliminating voids in the interlayer dielectric of a 0.18-&mgr;m flash memory semiconductor device by providing a first BPTEOS layer, using a very low deposition rate and having a thickness in a range of approximately 3 kÅ; and providing a second BPTEOS layer, using a standard deposition rate and having a thickness in a range of approximately 13 kÅ, wherein both layers have an atomic dopant concentration of approximately 4.5% B and approximately 5% P. This two-step deposition process completely eliminates voids in the ILD for a 0.5-&mgr;m distance (gate-to-gate) as well as 0.38-&mgr;m distance (gate-to-gate) which is the future flash technology.
    Type: Grant
    Filed: September 13, 2002
    Date of Patent: September 30, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert A. Huertas, Lu You, King Wai Kelwin Ko, Pei-Yuan Gao
  • Patent number: 6617215
    Abstract: A manufacturing method for a MirrorBit® Flash memory includes providing a semiconductor substrate and depositing a charge-trapping dielectric material. First and second bitlines are implanted and a wordline material is deposited. A hard mask material is deposited over the wordline material. The hard mask material is of a material having the characteristic of being deposited rather than grown. A photoresist material is deposited over the wordline material and is patterned to form a patterned hard mask. The patterned photoresist material is removed. The wordline material is processed using the patterned hard mask to form a wordline. The patterned hard mask material is removed.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: September 9, 2003
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Arvind Halliyal, Tazrien Kamal, Minh Van Ngo, Mark T. Ramsbey, Jeffrey A. Shields, Jean Y. Yang, Emmanuil Lingunis, Angela T. Hui, Jusuke Ogura
  • Patent number: 6613657
    Abstract: Device leakage due to spacer undercutting is remedied by depositing a BPSG, SA-CVD oxide liner and flowing it into the undercut regions, followed by gap filling with a P-doped HDP oxide layer. Embodiments include depositing a BPSG, SA-CVD oxide liner containing 4 to 6 wt.% boron, at a thickness of 1,000 Å to 1,800 Å, over closely spaced apart non-volatile transistors and heating during or subsequent to deposition to flow the BPSG, SA-CVD oxide liner into the undercut regions of the sidewall spacers of the gate stacks. Gap filling is then completed by depositing the layer of P-doped HDP at a thickness of 6,000 Å to 10,000 Å.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: September 2, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Dawn Hopper, Wenmei Li, Kelwin King Wai Ko, Kuo-Tung Chang, Tyagamohan Gottipati
  • Patent number: 6605513
    Abstract: A self-aligned silicide process that can accommodate a low thermal budget and form silicide regions of small dimensions in a controlled reaction. In a first temperature treatment, nickel metal or nickel alloy is reacted with a silicon material to form at least one high resistance nickel silicide region. Unreacted nickel is removed. A dielectric layer is then deposited over a high resistance nickel silicide regions. In a second temperature treatment, the at least one high resistance nickel silicide region and dielectric layer are reacted at a prescribed temperature to form at least one low resistance silicide region and process the dielectric layer. Bridging between regions is avoided by the two-step process as silicide growth is controlled, and unreacted nickel between silicide regions is removed after the first temperature treatment. The processing of the high resistance nickel silicide regions and the dielectric layer are conveniently combined into a single temperature treatment.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Eric N. Paton, Ercan Adem, Jacques J. Bertrand, Paul R. Besser, Matthew S. Buynoski, John Clayton Foster, Paul L. King, George Jonathan Kluth, Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6605848
    Abstract: A semiconductor device including a semiconductor substrate; a metal gate electrode; and a silicon oxynitride spacer formed on a surface of the metal gate electrode, wherein an interface of the silicon oxynitride spacer and the metal gate electrode is substantially free of metal silicide. In one embodiment, the silicon oxynitride spacer includes a first portion and a second portion, in which the first portion is formed under starving silicon conditions.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Arvind Halliyal
  • Patent number: 6602754
    Abstract: Bridging between silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by implanting the exposed surfaces of the silicon nitride sidewall spacers with nitrogen to create a surface region having an increased nitrogen concentration. Embodiments include implanting the silicon nitride sidewall spacers with nitrogen such that the nitrogen concentration of the exposed surface is increased by about 5% to about 15%, thereby substantially preventing the formation of metal silicide on the sidewall spacers.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: August 5, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: George J. Kluth, Minh Van Ngo, Paul R. Besser
  • Patent number: 6599827
    Abstract: The electromigration resistance of capped Cu or Cu alloy interconnects is significantly improved by pumping out the deposition chamber after treating the exposed planarized surface of the Cu or Cu alloy with an ammonia-containing plasma, introducing NH3 and N2 into the deposition chamber, and then ramping up the introduction of SiH4 prior to initiating deposition of a silicon nitride capping layer. Embodiments include ramping up the introduction of SiH4 in two stages prior to initiating plasma enhanced chemical vapor deposition of the silicon nitride capping layer.
    Type: Grant
    Filed: May 2, 2001
    Date of Patent: July 29, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Steven C. Avanzino, Amit P. Marathe, Hartmut Ruelke
  • Patent number: 6599766
    Abstract: The invention provides a method of selecting an anti reflective layer thickness for patterning a thin film silicon gate layer over a high K dielectric layer. The method comprises selecting a trial anti reflective layer thickness. A first coherent illumination intensity reflected from an interface between the photoresist layer and the anti reflective layer is calculated at the lithography wavelength. A second coherent illumination intensity reflected from an interface between the anti reflective layer and the polysilicon layer is calculated at the lithography wavelength. And, a third coherent illumination intensity reflected from an interface between the polysilicon layer and the high K dielectric layer is calculated at the lithography wavelength. A total coherent illumination intensity that comprises the sum of the first coherent illumination intensity, the second coherent illumination intensity, and the third coherent illumination intensity is calculated and compared to a predetermined threshold.
    Type: Grant
    Filed: March 8, 2002
    Date of Patent: July 29, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Cyrus E. Tabery, Chih-Yuh Yang, Minh Van Ngo
  • Patent number: 6596631
    Abstract: The integrity of the interface and adhesion between a barrier or capping layer and a Cu or Cu alloy interconnect member is significantly enhanced by delaying and/or slowly ramping up the introduction of silane to deposit a silicon nitride capping layer after treating the exposed planarized surface of the Cu or Cu alloy with an ammonia-containing plasma. Other embodiments include purging the reaction chamber with nitrogen at elevated temperature to remove residual gases prior to introducing the wafer for plasma treatment.
    Type: Grant
    Filed: July 26, 2000
    Date of Patent: July 22, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Hartmut Ruelke, Lothar Mergili, Joerg Hohage, Lu You, Robert A. Huertas, Richard J. Huang
  • Patent number: 6593237
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device and a device dielectric layer formed on the semiconductor substrate. A channel dielectric layer on the device dielectric layer has a channel opening and a conductor core filling the channel opening. A via stop layer is formed over the channel dielectric layer to have a hydrogen concentration below 15 atomic % and a via dielectric layer is formed over the via stop layer and has a via opening. A second channel dielectric layer over the via dielectric layer has a second channel opening. A second conductor core, filling the second channel opening and the via opening, is connected to the semiconductor device.
    Type: Grant
    Filed: June 24, 2002
    Date of Patent: July 15, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6593632
    Abstract: The capacitance between the gate of a transistor and local interconnect is reduced employing SiC as an etch stop layer. Embodiments include depositing a SiC etch stop layer having a thickness of about 500-1000 Å and a dielectric constant of less than about 3.2, thereby providing a composite dielectric constant between the gate and local interconnect of between about 3.7 to about 4.7. The SiC etch stop layer can be deposited by PECVD or HDP techniques.
    Type: Grant
    Filed: August 17, 1999
    Date of Patent: July 15, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Minh Van Ngo, Angela T. Hui, Chun Jiang, Hamid Partovi
  • Patent number: 6586333
    Abstract: A method of manufacturing a MOSFET semiconductor device comprises providing a gate electrode having first and second opposing sidewalls over a substrate having source/drain regions; providing a gate oxide between the gate electrode and the substrate; forming first and second sidewall spacers respectively disposed adjacent the first and second sidewalls; implanting nitrogen into the sidewall spacers; forming a nickel layer; and forming nickel suicide layers disposed on the source/drain regions and the gate electrode. The nickel silicide layers are formed during a rapid thermal anneal at temperatures from about 380 to 600° C. The nitrogen implantation process is a plasma treating in a plasma-enhanced chemical vapor deposition chamber, and the nickel deposition is performed in a physical deposition chamber. Also, the implantation process and the formation of the nickel layer are sequentially performed without removal from a non-oxidizing atmosphere.
    Type: Grant
    Filed: October 5, 2000
    Date of Patent: July 1, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, Minh Van Ngo
  • Publication number: 20030119314
    Abstract: A MONOS device and method for making the device has a charge trapping dielectric layer, such as an oxide-nitride-oxide (ONO) layer, formed on a substrate. A recess is created through the ONO layer and in the substrate. A metal silicide bit line is formed in the recess and bit line oxide is formed on top of the metal silicide. A word line is formed over the ONO layer and the bit line oxide, and a low resistance silicide is provided on top of the word line. The silicide is formed by laser thermal annealing, for example.
    Type: Application
    Filed: December 20, 2001
    Publication date: June 26, 2003
    Inventors: Jusuke Ogura, Mark T. Ramsbey, Arvind Halliyal, Zoran Krivokapic, Minh Van Ngo, Nicholas H. Tripsas
  • Patent number: 6583051
    Abstract: A manufacturing method for an integrated circuit is provided having a semiconductor substrate with a semiconductor device. A dielectric layer is on the semiconductor substrate and has an opening provided therein. An amorphized barrier layer lines the opening and a seed layer is deposited to line the amorphized barrier layer. A conductor core fills the opening over the barrier layer to form a conductor channel. The seed layer is securely bonded to the amorphized barrier layer and prevents electromigration along the surface between the seed and barrier layers.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: June 24, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey D. Lopatin, Minh Van Ngo, Minh Quoc Tran
  • Patent number: 6576545
    Abstract: Degradation of fluorine-doped silica glass low-k inter-layer dielectrics during fabrication is significantly reduced and resolution of submicron features is improved by the formation of dual nature capping/ARC layers on inter-layer dielectric films. The capping/ARC layer is formed in-situ on a fluorine-doped silica glass inter-layer dielectric. The in-situ formation of the capping/ARC layer provides a strongly adhered capping/ARC layer, formed with fewer processing steps than conventional capping and ARC layers.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn M. Hopper, Lu You, Minh Van Ngo
  • Patent number: 6576982
    Abstract: A semiconductor device includes a first metallization layer, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization layer. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material as the first diffusion barrier layer. The first etch stop layer can be formed from silicon oxynitride. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Dawn M. Hopper, Minh Van Ngo
  • Patent number: 6576548
    Abstract: Reliable contacts/vias are formed by sputter etching to flare exposed edges of an opening formed in a dielectric layer, depositing a composite barrier layer and then filling the opening with tungsten at a low deposition rate. The resulting contact/via exhibits significantly reduced porosity and contact resistance. Embodiments include sputter etching to incline the edges of an opening formed in an oxide dielectric layer, e.g., a silicon oxide derived from TEOS or BPSG, at an angle of about 83° to about 86°, depositing a thin layer of Ti, e.g., at a thickness of about 250 Å to about 350 Å, depositing at least one layer of titanium nitride, e.g., three layers of titanium nitride, at a total thickness of about 130 Å to about 170 Å, and then depositing tungsten at a deposition rate of about 1,900 to about 2,300 Å/min to fill the opening.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Amy Tu, Minh Van Ngo, Austin Frenkel, Robert J. Chiu, Jeff Erhardt
  • Patent number: 6577009
    Abstract: A semiconductor device includes a first metallization layer, a first diffusion barrier layer, a first etch stop layer, a dielectric layer and a via extending through the dielectric layer, the first etch stop layer, and the first diffusion barrier layer. The first diffusion barrier layer is disposed over the first metallization layer. The first etch stop layer is disposed over the first diffusion barrier layer, and the dielectric layer is disposed over the first etch stop layer. The via can also have rounded corners. A sidewall diffusion barrier layer can be disposed on sidewalls of the via, and the sidewall diffusion barrier layer is formed from the same material.as the first diffusion barrier layer. The first diffusion barrier layer can be formed from silicon carbide. A method of manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: February 6, 2001
    Date of Patent: June 10, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Lu You, Fei Wang, Minh Van Ngo
  • Patent number: 6573172
    Abstract: Methods are described for fabricating semiconductor devices, in which a tensile film is formed over PMOS transistors to cause a compressive stress therein and a compressive film is formed over NMOS transistors to achieve a tensile stress therein, by which improved carrier mobility is facilitated in both PMOS and NMOS devices.
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: June 3, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: William George En, Angela Hui, Minh Van Ngo
  • Publication number: 20030098487
    Abstract: A semiconductor device including a semiconductor substrate; a metal gate electrode; and a silicon oxynitride spacer formed on a surface of the metal gate electrode, wherein an interface of the silicon oxynitride spacer and the metal gate electrode is substantially free of metal silicide. In one embodiment, the silicon oxynitride spacer includes a first portion and a second portion, in which the first portion is formed under starving silicon conditions.
    Type: Application
    Filed: September 18, 2002
    Publication date: May 29, 2003
    Inventors: Minh Van Ngo, Arvind Halliyal