Patents by Inventor Minh Van Ngo

Minh Van Ngo has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 6713392
    Abstract: Bridging between nickel silicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by treating the exposed surfaces of the silicon nitride sidewall spacers with a nitrogen oxide plasma to create a surface region having reduced free silicon. Embodiments include treating the silicon nitride sidewall spacers with a nitrogen plasma to reduce the refractive index of the surface region to less than about 1.95.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: March 30, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo
  • Patent number: 6706576
    Abstract: The density of a deposited silicon nitride layer is increased by laser thermal annealing in N2, thereby increasing etch selectivity with respect to an overlying oxide and, hence, avoiding damage to underlying silicide layers and gates. Embodiments include laser thermal annealing a silicon nitride layer deposited as an etch stop layer, e.g., in fabricating EEPROMs, to increase its density by up to about 8%, thereby increasing its etch selectivity with respect to an overlying BPSG layer to about {fraction (1/12)} to about {fraction (1/14)}.
    Type: Grant
    Filed: March 14, 2002
    Date of Patent: March 16, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Angela Hui
  • Patent number: 6693004
    Abstract: A semiconductor device and a process for fabricating the device, including, in one embodiment, a silicon substrate; a first interfacial barrier layer on the silicon substrate, in which the first interfacial barrier layer may include aluminum oxide, silicon nitride, silicon oxynitride or a mixture thereof; and a layer of a high-K dielectric material. The device may further include a second interfacial barrier layer on the high-K dielectric material layer, and may further include a polysilicon or polysilicon-germanium gate electrode formed on the second interfacial barrier layer.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: February 17, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Arvind Halliyal, Joong S. Jeon, Minh Van Ngo, William G. En, Effiong Ibok
  • Patent number: 6686263
    Abstract: The present invention provides systems and methods that facilitate formation and use of organic memory devices. An electroless plating process is employed that operates at relatively low temperatures and without employing electrical current. The electroless process is utilized to form conductive layers, such as electrodes and the like, from conductive materials. The process includes depositing an activation compound on selected areas and then applying a chemical solution. The chemical solution contains metal ions. Then, a chemical reaction occurs reducing the metal ions and thereby plating the metal ions and forming a conductive layer. Specifically, the electroless process can be employed to form a top electrode of an organic memory device.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: February 3, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey D. Lopatin, Minh Van Ngo
  • Patent number: 6686232
    Abstract: A thin silicon nitride layer is deposited at an ultra low deposition rate by PECVD by reducing the NH3 flow rate and/or reducing the SiH4 flow rate. Embodiments include depositing a thin layer of silicon nitride, e.g., 100 Å or less, on a thin silicon oxide liner over a gate electrode, at an NH3 flow rate of 100 to 800 sccm, a SiH4 flow rate of 50 to 100 sccm and a reduced pressure of 0.8 to 1.8 Torr. Embodiments of the present invention further include depositing the silicon nitride layer in multiple deposition stages, e.g., depositing the silicon nitride layer in five deposition stages of 20 Å each.
    Type: Grant
    Filed: June 19, 2002
    Date of Patent: February 3, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Robert A. Huertas, Dawn Hopper, Hieu Pham
  • Patent number: 6673696
    Abstract: A method of manufacturing an integrated circuit (IC) utilizes a shallow trench isolation (STI) technique. The shallow trench isolation technique is used in strained silicon (SMOS) process. The liner for the trench is formed in a high temperature process after the trench is filled with an insulative material. The insulative material is provided in a low temperature process.
    Type: Grant
    Filed: January 14, 2003
    Date of Patent: January 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Farzad Arasnia, Minh-Van Ngo, Qi Ziang
  • Patent number: 6674170
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device. A device dielectric layer formed on the semiconductor substrate. A channel dielectric layer on the device dielectric layer has an opening formed therein. A barrier layer lines the channel opening. A conductor core fills the opening over the barrier layer. An interconnect cap is disposed over the conductor core and seed layer and is capped with a capping layer. The interconnect cap is preferably of an indium oxide compound.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: January 6, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Pin-Chin Connie Wang
  • Patent number: 6670241
    Abstract: A device and method for manufacturing thereof for a MirrorBit® Flash memory includes providing a semiconductor substrate and successively depositing a first insulating layer, a charge-trapping layer, and a second insulating layer. First and second bitlines are implanted and wordlines are formed before completing the memory. Spacers are formed between the wordlines and an inter-layer dielectric layer is formed over the wordlines. One or more of the second insulating layer, wordlines, spacers, and inter-layer dielectric layers are deuterated, replacing hydrogen bonds with deuterium, thus improving data retention and substantially reducing charge loss.
    Type: Grant
    Filed: April 22, 2002
    Date of Patent: December 30, 2003
    Assignees: Advanced Micro Devices, Inc., Fujitsu Limited
    Inventors: Tazrien Kamal, Arvind Halliyal, Minh Van Ngo, Mark T. Ramsbey, Jean Y. Yang, Hidehiko Shiraiwa, Rinji Sugino
  • Patent number: 6664187
    Abstract: Semiconductor devices with highly reliable Cu interconnects exhibiting reduced resistance are formed by sequentially depositing a seedlayer by PVD, depositing a conformal seedlayer enhancement film by CVD, and then laser thermal annealing the seedlayer enhancement film in nitrogen to expel impurities, enhance film conductivity, reduce film stress, increase film density, and reduce film roughness. Embodiments include single and dual Cu damascene techniques formed in dielectric layers having a dielectric constant no greater than about 3.9.
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Minh Q. Tran
  • Patent number: 6660634
    Abstract: The adhesion of a diffusion barrier or capping layer to a Cu or Cu alloy interconnect member is significantly enhanced by treating the exposed surface of the Cu or Cu alloy interconnect member: (a) under plasma conditions with ammonia and silane or dichlorosilane to form a copper silicide layer thereon; or (b) with an ammonia plasma followed by reaction with silane or dichlorosilane to form a copper silicide layer thereon. The diffusion barrier layer is then deposited on the copper silicide layer. Embodiments include electroplating or electroless plating Cu or a Cu alloy to fill a damascene opening in a dielectric interlayer, chemical mechanical polishing, then treating the exposed surface of the Cu/Cu alloy interconnect to form the copper silicide layer thereon, and depositing a silicon nitride diffusion barrier layer on the copper silicide layer.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Shekhar Pramanick, Takeshi Nogami
  • Patent number: 6661067
    Abstract: Bridging between nickel suicide layers on a gate electrode and source/drain regions along silicon nitride sidewall spacers is prevented by treating the exposed surfaces of the silicon nitride sidewall spacers with a nitrogen plasma to create a surface region having reduced free silicon. Embodiments include treating the silicon nitride sidewall spacers with a nitrogen plasma to reduce the refractive index of the surface region to less than about 1.95.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Christy Mei-Chu Woo, Paul R. Besser, Robert A. Huertas
  • Patent number: 6660621
    Abstract: A method of forming ultra-shallow junctions in a semiconductor wafer forms the gate and source/drain junctions having upper surfaces at first metal suicide regions on the gate and source/drain junctions. These first metal silicide regions have a higher resistivity. Amorphous silicon is deposited on the first metal suicide regions by plasma enhanced chemical vapor deposition (PECVD). The PECVD process may be a lower pressure deposition process, performed at multiple stations to form the amorphous silicon layer in multiple layers. This creates a more uniform amorphous silicon layer across the wafer and different patterning densities, thereby improving device performance and characteristics. Annealing is then performed to form second metal silicide regions of a lower resistivity, by diffusion reaction of the first metal silicide regions and the amorphous silicon that was deposited by the PECVD process.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: December 9, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Minh Van Ngo
  • Patent number: 6656763
    Abstract: A method of making organic memory cells made of two electrodes with a controllably conductivce media between the two electrodes is disclosed. The controllably conductive media contains an organic semiconductor layer and passive layer. The organic semiconductor layer is formed using spin-on techniques with the assistance of certain solvents.
    Type: Grant
    Filed: March 10, 2003
    Date of Patent: December 2, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jane V. Oglesby, Christopher F. Lyons, Ramkumar Subramanian, Angela T. Hui, Minh Van Ngo, Suzette K. Pangrle
  • Patent number: 6657304
    Abstract: A manufacturing method, and an integrated circuit resulting therefrom, has a substrate and a semiconductor device thereon. A stop layer over the substrate has a first dielectric layer formed thereon having an opening into which a first conformal barrier is formed. A first conformal barrier liner is formed in the opening, processed, and treated to improve adhesion. Portions of the first conformal barrier liner on the sidewalls act as a barrier to diffusion of conductor core material to the first dielectric layer. A conductor material is formed in the opening over the vertical portions of the first conformal barrier liner and the first stop layer.
    Type: Grant
    Filed: June 6, 2002
    Date of Patent: December 2, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christy Mei-Chu Woo, Minh Van Ngo, John E. Sanchez, Jr., Steven C. Avanzino
  • Patent number: 6653190
    Abstract: A method of manufacturing for a MirrorBit® Flash memory includes depositing a charge-trapping material over a semiconductor substrate and implanting first and second bitlines in the semiconductor substrate. A wordline material is deposited over the charge-trapping dielectric material and a hard mask material deposited thereon. An anti-reflective coating (ARC) material is deposited on the hard mask material and a photoresist material is deposited on the ARC followed by processing the photoresist material and the ARC material to form a photomask of a patterned photoresist and a patterned ARC. The hard mask material is processed using the photomask to form a hard mask. The patterned photoresist is removed and then the patterned ARC without damaging the hard mask or the wordline material. The wordline material is processed using the hard mask to form a wordline and the hard mask is removed without damaging the wordline or the charge-trapping material.
    Type: Grant
    Filed: December 15, 2001
    Date of Patent: November 25, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jean Y. Yang, Kouros Ghandehari, Tazrien Kamal, Minh Van Ngo, Mark T. Ramsbey, Dawn M. Hopper, Angela T. Hui, Scott A. Bell
  • Patent number: 6645882
    Abstract: A semiconductor device and a method of fabricating the semiconductor device having a composite dielectric layer including steps of providing a semiconductor substrate; depositing on the semiconductor substrate alternating sub-layers of a first dielectric material and a second dielectric material to form a layered dielectric structure having at least two sub-layers of at least one of the first dielectric material and the second dielectric material, in which one of the first dielectric material and the second dielectric material is a high-K dielectric material and an other of the first dielectric material and the second dielectric material is a standard-K dielectric material comprising aluminum oxide; and annealing the layered dielectric structure at an elevated temperature to form a composite dielectric layer.
    Type: Grant
    Filed: January 17, 2002
    Date of Patent: November 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Arvind Halliyal, Joong S. Jeon, Minh Van Ngo, Robert B. Ogle
  • Patent number: 6645853
    Abstract: Semiconductor devices comprising interconnect with improved adhesion of barrier layers to dielectric layers are formed by laser thermal annealing exposed surfaces of a dielectric layer in an atmosphere of NH3 and N2, and subsequently depositing Ta to form a composite barrier layer. Embodiments include forming a dual damascene opening in an interlayer dielectric comprising F-containing silicon oxide, such as F-containing silicon oxide derived from F-TEOS, laser thermal annealing the exposed silicon oxide surface in NH3 and N2, depositing Ta and then filling the opening with Cu. Laser thermal annealing in NH3 and N2 depletes the exposed silicon oxide surface of F while forming an N2-rich surface region. Deposited Ta reacts with the N2 in the N2-rich surface region to form a composite barrier layer comprising a graded layer of tantalum nitride and a layer of &agr;-Ta thereon.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: November 11, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Dawn Hopper
  • Patent number: 6642145
    Abstract: An integrated circuit and manufacturing method therefor is provided having a semiconductor substrate with a semiconductor device. A dielectric layer formed over the semiconductor substrate has an opening provided therein. The dielectric layer is of non-barrier dielectric material capable of being changed into a barrier dielectric material. The dielectric layer around the opening is changed into the barrier dielectric material and the conductor core material is deposited to fill the opening. The conductor core is processed to form a channel for the integrated circuit.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Steven C. Avanzino, Pin-Chin Connie Wang, Minh Van Ngo
  • Patent number: 6642619
    Abstract: A Fluorine doped Silicon Oxide (SiO2)/Tantalum interface and method for manufacturing the same are provided that ensure the structural integrity of integrated circuits that include a Fluorine doped Silicon Oxide structure and a corresponding Tantalum barrier layer. The Fluorine doped Silicon Oxide (SiO2)/Tantalum interface comprises an amount of Silicon Nitride (SiN) in a surface region of a Fluorine doped Silicon Oxide structure. The concentration of Fluorine in the surface region is depleted with respect to a concentration of Fluorine in the remaining portion(s) of the Fluorine doped Silicon Oxide structure. The Fluorine doped Silicon Oxide (SiO2)/Tantalum interface also includes an amount of Tantalum Nitride (TaN) in the surface region. Finally, a Tantalum barrier layer is deposited over the surface region.
    Type: Grant
    Filed: July 12, 2000
    Date of Patent: November 4, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Dawn Hopper, Jeremy Martin
  • Patent number: 6638861
    Abstract: Reliable contacts/vias are formed by filling an opening in a dielectric layer with W and laser thermal annealing to eliminate or significantly reduce voids. Embodiments include depositing W to fill a contact/via opening in an interlayer dielectric, laser thermal annealing in N2 to elevate the temperature of the W filling the contact/via opening and reflow the W thereby eliminating voids. Embodiments include conducting CMP either before or subsequent to laser thermal annealing.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: October 28, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Eric Paton