Patents by Inventor Soo-doo Chae

Soo-doo Chae has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Publication number: 20240153773
    Abstract: A method for fabricating a semiconductor device is described that includes forming a base layer over a top layer of a substrate, the base layer includes a silicon based dielectric having a thickness less than or equal to 5 nm and greater than or equal to 0.5 nm; forming a photoresist layer over the base layer, the photoresist including a first side and an opposite second side; exposing a first portion of the photoresist layer to a pattern of extreme ultraviolet (EUV) radiation from the first side; exposing a second portion of the photoresist layer with a pattern of electron flux from the second side, the electron flux being directed into the photoresist layer from the base layer in response to the EUV radiation; developing the exposed photoresist layer to form a patterned photoresist layer; and transferring the pattern of the patterned photoresist layer to the base layer and the top layer.
    Type: Application
    Filed: January 18, 2024
    Publication date: May 9, 2024
    Inventors: Choong-Man Lee, Soo Doo Chae, Angelique Raley, Qiaowei Lou, Toshio Hasegawa, Yoshihiro Kato
  • Publication number: 20240147719
    Abstract: In certain embodiments, a method includes forming, on a substrate by spin-on deposition, a layer stack of alternating layers of first and second carbon-containing materials. The layers of the first carbon-containing material include an agent-generating ingredient for generating a solubility-changing agent in response to an activation trigger. The method includes executing the activation trigger in response to which the solubility-changing agent is generated from the agent-generating ingredient in the layers of the first carbon-containing material and modifies the layers of the first carbon-containing material to be soluble in a developer. The method includes etching first openings through the layer stack, filling the first openings with a third material, etching second openings through the layer stack, removing the layers of the first carbon-containing material from the layer stack by exposing those to the developer, and replacing the layers of the first carbon-containing material with a fourth material.
    Type: Application
    Filed: November 1, 2022
    Publication date: May 2, 2024
    Inventors: Soo Doo Chae, Lior Huli, Steven Gueci, Hojin Kim, Henan Zhang, Na Young Bae
  • Publication number: 20240145312
    Abstract: A method for fabricating semiconductor devices is disclosed. The method includes forming, on a first side of a substrate, a first stack and a second stack. The method includes etching, from the first side, a portion of the substrate interposed between the first and second stacks to form a recess. The method includes filling the recess with a dielectric material to form an isolation structure. The method includes forming, on the first side, one or more first interconnect structures over the first and second stacks. The method includes removing, from a second side of the substrate opposite to the first side, a remaining portion of the substrate. The method includes forming a via structure extending through at least the isolation structure. The method includes forming, on the second side, one or more second interconnect structures.
    Type: Application
    Filed: October 27, 2022
    Publication date: May 2, 2024
    Applicant: Tokyo Electron Limited
    Inventors: Soo Doo Chae, Matthew Baron, Hojin Kim, Sunghil Lee
  • Publication number: 20240071808
    Abstract: A method for forming a semiconductor device is disclosed. The method includes forming a first layer on a substrate. The method includes forming a second layer on the first layer. The substrate and the second layer have a first semiconductor material and the first layer has a second semiconductor material, and an etching selectivity is present between the first semiconductor material and the second semiconductor material. The method includes performing a first etching process to remove a portion of the second layer until the first layer is exposed, wherein the first layer is configured as an etch stop layer for the first etching process.
    Type: Application
    Filed: August 29, 2022
    Publication date: February 29, 2024
    Applicant: Tokyo Electron Limited
    Inventors: Hojin Kim, Mingmei Wang, Soo Doo Chae
  • Publication number: 20240071984
    Abstract: Devices and methods for forming semiconductor devices are disclosed. The semiconductor device can include a plurality of semiconductor wafers. The plurality of semiconductor wafers can have a dielectric bonding layer disposed thereupon. The dielectric bonding layers can be treated to increase a bonding energy with other semiconductor wafers. A wafer having a treatment applied to a bonding layer can be bonded to another wafer.
    Type: Application
    Filed: August 23, 2022
    Publication date: February 29, 2024
    Applicant: Tokyo Electron Limited
    Inventors: Kandabara Tapily, Soo Doo Chae, Satohiko Hoshino, Hojin Kim, Adam Gildea
  • Patent number: 11915931
    Abstract: A method for fabricating a semiconductor device is described that includes forming a base layer over a top layer of a substrate, the base layer includes a silicon based dielectric having a thickness less than or equal to 5 nm and greater than or equal to 0.5 nm; forming a photoresist layer over the base layer, the photoresist including a first side and an opposite second side; exposing a first portion of the photoresist layer to a pattern of extreme ultraviolet (EUV) radiation from the first side; exposing a second portion of the photoresist layer with a pattern of electron flux from the second side, the electron flux being directed into the photoresist layer from the base layer in response to the EUV radiation; developing the exposed photoresist layer to form a patterned photoresist layer; and transferring the pattern of the patterned photoresist layer to the base layer and the top layer.
    Type: Grant
    Filed: August 19, 2021
    Date of Patent: February 27, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Choong-man Lee, Soo Doo Chae, Angelique Raley, Qiaowei Lou, Toshio Hasegawa, Yoshihiro Kato
  • Publication number: 20230255014
    Abstract: Technologies for fabricating a vertical dynamic random access memory (DRAM) structure include forming a DRAM cell hole through a word line layer and an associated substrate such that a first section of the DRAM cell hole extends through the word line layer and a second section of the DRAM cell hole extends through the substrate in vertical alignment with the first section. A pillar capacitor structure is initially formed using the second section of the DRAM cell hole, followed by the formation of a transistor using the first section of the DRAM cell hole as a channel for the transistor. Due to the use of a common DRAM cell hole, the pillar capacitor structure and the channel are in vertical alignment. The substrate is subsequently flipped and removed from the pillar capacitor structure, which is further processed to form a pillar capacitor. In some embodiments, the channel may be formed from a deposition of indium gallium zinc oxide (IGZO).
    Type: Application
    Filed: February 10, 2022
    Publication date: August 10, 2023
    Inventors: Sang Cheol Han, Soo Doo Chae, Sunghil Lee
  • Publication number: 20230133927
    Abstract: A three-dimensional (3D) memory structure includes a memory array formed on a side of a substrate, a far-back-end-of-line (FBEOL) structure formed on the memory array, and a back-end-of-line (BEOL) structure formed on another side of the substrate opposite the side on which the memory array and the BEOL structure are formed. Methodologies to fabricate the 3D memory structure are also disclosed and include forming the memory array on the substrate, forming the FBEOL on the memory array, flipping the substrate, and forming the BEOL on the opposite side of the substrate. Alternative 3D memory structures and fabrication methodologies are also disclosed.
    Type: Application
    Filed: February 10, 2022
    Publication date: May 4, 2023
    Inventors: Sang Cheol Han, Sunghil Lee, Iljung Park, Soo Doo Chae
  • Publication number: 20230075263
    Abstract: A semiconductor package is disclosed. The semiconductor package includes a first substrate including a first interconnect structure and a first bonding layer adjacent the first interconnect structure. The semiconductor package includes a second substrate including a second interconnect structure and a second bonding layer adjacent the second interconnect structure. The first bonding layer and second bonding layer each include a metal oxide.
    Type: Application
    Filed: July 13, 2022
    Publication date: March 9, 2023
    Applicant: Tokyo Electron Limited
    Inventors: Soo Doo Chae, Sang Cheol Han, Hojin Kim, Kandabara Tapily, Satohiko Hoshino, Adam Gildea, Gerrit Leusink
  • Publication number: 20230054125
    Abstract: A method for fabricating a semiconductor device is described that includes forming a base layer over a top layer of a substrate, the base layer includes a silicon based dielectric having a thickness less than or equal to 5 nm and greater than or equal to 0.5 nm; forming a photoresist layer over the base layer, the photoresist including a first side and an opposite second side; exposing a first portion of the photoresist layer to a pattern of extreme ultraviolet (EUV) radiation from the first side; exposing a second portion of the photoresist layer with a pattern of electron flux from the second side, the electron flux being directed into the photoresist layer from the base layer in response to the EUV radiation; developing the exposed photoresist layer to form a patterned photoresist layer; and transferring the pattern of the patterned photoresist layer to the base layer and the top layer.
    Type: Application
    Filed: August 19, 2021
    Publication date: February 23, 2023
    Inventors: Choong-man Lee, Soo Doo Chae, Angelique Raley, Qiaowei Lou, Toshio Hasegawa, Yoshihiro Kato
  • Publication number: 20220246626
    Abstract: Embodiments provide raised pad formations for step contacts in three-dimensional structures formed on microelectronic workpieces. Steps are formed in a multilayer stack that is used for the three-dimensional structure. The multilayer stack includes alternating non-conductive and conductive layers. For one embodiment, alternating oxide and polysilicon layers are used. The steps expose contact regions on different conductive layers. Material layers are formed on the contact regions to form raised pads. The material layers preferably have a high selectivity with respect to the non-conductive material for etch processes. A protective layer is formed over the steps and the raised pads, and contact holes are formed through the protective layer to the raised pads. Contacts are then formed within the contact holes. The raised pads inhibit punch-through of the non-conductive layers during the forming of the contact holes thereby improving performance of resulting devices formed in the microelectronic workpieces.
    Type: Application
    Filed: April 13, 2022
    Publication date: August 4, 2022
    Inventors: Soo Doo Chae, Sang Cheol Han, Youngwoo Park
  • Patent number: 11380697
    Abstract: Embodiments provide raised pad formations for step contacts in three-dimensional structures formed on microelectronic workpieces. Steps are formed in a multilayer stack that is used for the three-dimensional structure. The multilayer stack includes alternating non-conductive and conductive layers. For one embodiment, alternating oxide and polysilicon layers are used. The steps expose contact regions on different conductive layers. Material layers are formed on the contact regions to form raised pads. The material layers preferably have a high selectivity with respect to the non-conductive material for etch processes. A protective layer is formed over the steps and the raised pads, and contact holes are formed through the protective layer to the raised pads. Contacts are then formed within the contact holes. The raised pads inhibit punch-through of the non-conductive layers during the forming of the contact holes thereby improving performance of resulting devices formed in the microelectronic workpieces.
    Type: Grant
    Filed: February 25, 2020
    Date of Patent: July 5, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Soo Doo Chae, Sang Cheol Han, Youngwoo Park
  • Publication number: 20220115399
    Abstract: In certain embodiments, a method of fabricating a device includes forming, on a substrate, a layer stack of alternating layers of a first spin-on material and a second spin-on material. Each layer of the first spin-on material and the second spin-on material is formed by spin-on deposition. The method includes etching first openings through the layer stack and filling the first openings with a third material. The method includes etching second openings through the layer stack, removing the first spin-on material from the layer stack, and replacing the first spin-on material with a fourth material. The fourth material is a first metal-containing material.
    Type: Application
    Filed: September 10, 2021
    Publication date: April 14, 2022
    Inventors: Soo Doo Chae, Karthikeyan Pillai, Lior Huli, Na Young Bae, Hojin Kim
  • Publication number: 20220102289
    Abstract: This disclosure describes a method for fabricating a plurality of semiconductor devices in a semiconductor wafer includes: bowing a semiconductor wafer including a substrate by covering the substrate with a strained layer; forming trenches at locations in scribe lines of the semiconductor wafer, the scribe lines identifying areas between adjacent dies on the semiconductor wafer; and reducing the bowing of the semiconductor wafer by filling the trenches with a stress-compensation material.
    Type: Application
    Filed: August 18, 2021
    Publication date: March 31, 2022
    Inventors: Hojin Kim, Stephen Mancini, Soo Doo Chae
  • Patent number: 11243465
    Abstract: Embodiments of methods for patterning using enhancement of surface adhesion are presented. In an embodiment, a method for patterning using enhancement of surface adhesion may include providing an input substrate with an anti-reflective coating layer and an underlying layer. Such a method may also include performing a surface adhesion modification process on the substrate, the surface adhesion modification process utilizing a plasma treatment configured to increase an adhesion property of an anti-reflective coating layer without affecting downstream processes. In an embodiment, the method may also include performing a photoresist coating process, a mask exposure process, and a developing process to generate a target patterned structure in a photoresist layer on the substrate. In such embodiments, the method may include controlling operating parameters of the surface adhesion modification process to achieve target profiles of the patterned structure and substrate throughput objectives.
    Type: Grant
    Filed: December 14, 2018
    Date of Patent: February 8, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Wanjae Park, Lior Huli, Soo Doo Chae
  • Publication number: 20210288069
    Abstract: In certain embodiments, a 3D structure for a microelectronic workpiece includes a multilayer stack that includes polysilicon layers separated by other layers, holes formed within the multilayer stack, recesses formed within the polysilicon layers at edges of the holes, conductive material deposited within the recesses to form outer layers within the holes, and plugs formed adjacent the outer layers within the holes.
    Type: Application
    Filed: March 10, 2021
    Publication date: September 16, 2021
    Inventors: Soo Doo Chae, Sang Cheol Han, Youngwoo Park
  • Publication number: 20210265369
    Abstract: Embodiments provide raised pad formations for step contacts in three-dimensional structures formed on microelectronic workpieces. Steps are formed in a multilayer stack that is used for the three-dimensional structure. The multilayer stack includes alternating non-conductive and conductive layers. For one embodiment, alternating oxide and polysilicon layers are used. The steps expose contact regions on different conductive layers. Material layers are formed on the contact regions to form raised pads. The material layers preferably have a high selectivity with respect to the non-conductive material for etch processes. A protective layer is formed over the steps and the raised pads, and contact holes are formed through the protective layer to the raised pads. Contacts are then formed within the contact holes. The raised pads inhibit punch-through of the non-conductive layers during the forming of the contact holes thereby improving performance of resulting devices formed in the microelectronic workpieces.
    Type: Application
    Filed: February 25, 2020
    Publication date: August 26, 2021
    Inventors: Soo Doo Chae, Sang Cheol Han, Youngwoo Park
  • Patent number: 10978307
    Abstract: A method of patterning a substrate includes receiving a substrate having microfabricated structures, including mandrels; executing a deposition process that deposits a first material on the mandrels, the deposition process including cyclically moving the substrate through a set of deposition modules. The substrate is moved through the set of deposition modules so that the first material is deposited at a first thickness at top portions of the mandrels and at a second thickness at bottom portions of mandrels, the first thickness being greater than the second thickness. The method includes executing a spacer deposition process that conformally deposits a second material on the substrate; executing a spacer open etch that removes depositions of the second material from over a top surface of the mandrels; removing the first material and the mandrels from the substrate, leaving sidewall spacers; and transferring a pattern defined by the sidewall spacers into an underlying layer.
    Type: Grant
    Filed: July 24, 2020
    Date of Patent: April 13, 2021
    Assignee: Tokyo Electron Limited
    Inventors: David O'Meara, Eric Chih-Fang Liu, Richard Farrell, Soo Doo Chae
  • Publication number: 20210057226
    Abstract: A method of patterning a substrate includes receiving a substrate having microfabricated structures, including mandrels; executing a deposition process that deposits a first material on the mandrels, the deposition process including cyclically moving the substrate through a set of deposition modules. The substrate is moved through the set of deposition modules so that the first material is deposited at a first thickness at top portions of the mandrels and at a second thickness at bottom portions of mandrels, the first thickness being greater than the second thickness. The method includes executing a spacer deposition process that conformally deposits a second material on the substrate; executing a spacer open etch that removes depositions of the second material from over a top surface of the mandrels; removing the first material and the mandrels from the substrate, leaving sidewall spacers; and transferring a pattern defined by the sidewall spacers into an underlying layer.
    Type: Application
    Filed: July 24, 2020
    Publication date: February 25, 2021
    Inventors: David O'Meara, Eric Chih-Fang Liu, Richard Farrell, Soo Doo Chae
  • Patent number: 10923392
    Abstract: A semiconductor device is provided. The semiconductor device can have a substrate including dielectric material. A plurality of narrow interconnect openings can be formed within said dielectric material. In addition, a plurality of wide interconnect openings can be formed within said dielectric material. The semiconductor device can include a first metal filling the narrow interconnect openings to form an interconnect structure and conformally covering a surface of the wide interconnect openings formed in the dielectric material, and a second metal formed over the first metal and encapsulated by the first metal to form another interconnect structure within the wide interconnect openings.
    Type: Grant
    Filed: September 5, 2019
    Date of Patent: February 16, 2021
    Assignee: Tokyo Electron Limited
    Inventors: Soo Doo Chae, Jeffrey Smith, Gerrit J. Leusink, Robert D. Clark, Kai-Hung Yu