Patents by Inventor Yi-Lung Cheng

Yi-Lung Cheng has filed for patents to protect the following inventions. This listing includes patent applications that are pending as well as patents that have already been granted by the United States Patent and Trademark Office (USPTO).

  • Patent number: 7074701
    Abstract: A method of forming an opening in a stack of insulator layers featuring an underlying etch stop layer comprised of a tri-layer insulator composite, has been developed. The tri-layer insulator composite comprised of a bottom silicon rich, silicon oxide layer and a top silicon nitride layer, is first formed on a conductive region of a semiconductor substrate. After deposition of overlying insulator layers a photoresist shape is used as a etch mask to allow the desired contact or via hole shape to be defined in the overlying insulator layers via a first phase of an anisotropic dry etch procedure, with the first phase of the dry etching procedure terminating at the top surface of the silicon nitride layer. An over etch procedure used to insure complete removal of overlying insulator layer from the surface of the tri-layer insulator composite, is next performed as a second phase of the anisotropic dry etch procedure.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: July 11, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Yi-Lung Cheng, Shih-Chia Cheng
  • Publication number: 20060125090
    Abstract: A semiconductor structure and method for dissipating heat away from a semiconductor device having a plurality of power lines is provided. The semiconductor structure includes a semiconductor substrate and a plurality of interconnect structures disposed on the substrate and in contact therewith and extending through the semiconductor device, the interconnect structures for dissipating heat to the substrate. Each of the plurality of interconnect structures comprises at least one via stack.
    Type: Application
    Filed: January 24, 2006
    Publication date: June 15, 2006
    Inventors: Hsuch-Chung Chen, Yi-Lung Cheng, Hsien-Wei Chen, Shin-Puu Jeng
  • Publication number: 20060076694
    Abstract: A semiconductor device package has a concavity-containing encapsulation body to prevent device delamination and increase thermal-transferring efficiency. An encapsulation body of polymer-based material encapsulates a semiconductor device and bonding wires, and a concavity structure is patterned on the encapsulation body by imprinting, laser drilling, photolithography, dry etching, die sawing, or other surface patterning technologies.
    Type: Application
    Filed: October 13, 2004
    Publication date: April 13, 2006
    Inventors: Hsien-Wei Chen, Hsueh-Chung Chen, Yi-Lung Cheng
  • Publication number: 20060051973
    Abstract: A method for forming IMD films. A substrate is provided. A plurality of dielectric films are formed on the substrate, wherein each of the dielectric layers are deposited in-situ in one chamber with only one thermal cycle.
    Type: Application
    Filed: September 9, 2004
    Publication date: March 9, 2006
    Inventors: Yi-Lung Cheng, Miao-Cheng Liao, Ying-Lang Wang
  • Publication number: 20060003486
    Abstract: A plasma treatment method which is capable of extending the MTF (mean-time-to-failure) of metal interconnects fabricated on a semiconductor wafer substrate, is disclosed. The invention includes providing a trench typically in a dielectric layer on a substrate; depositing a metal in the trench; and exposing the metal to a nitrogen-based plasma. The plasma-treatment step accelerates grain growth and re-orients the grains in the metal to a closely-packed crystal orientation texture which approaches or approximates the <111>crystal orientation texture of copper.
    Type: Application
    Filed: June 30, 2004
    Publication date: January 5, 2006
    Inventors: Jane-Bai Lai, Yi-Lung Cheng
  • Patent number: 6979656
    Abstract: A method for fabricating a dielectric layer provides for use of a carbon source material separate from a halogen source material when forming a carbon and halogen doped silicate glass dielectric layer. The use of separate carbon and halogen source materials provides enhanced process latitude when forming the carbon and halogen doped silicate glass dielectric layer. Such a carbon and halogen doped silicate glass dielectric layer having a dielectric constant greater than about 3.0 is particularly useful as an intrinsic planarizing stop layer within a damascene method. A bilayer dielectric layer construction comprising a carbon and halogen doped silicate glass and a carbon doped silicate glass dielectric layer absent halogen doping is useful within a dual damascene method.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: December 27, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shiu-Ko Jangjian, Jun Wu, Chi-Wen Liu, Ying-Lung Wang, Yi-Lung Cheng, Michael Chang, Szu-An Wu
  • Publication number: 20050272260
    Abstract: A substrate is provided having semiconductor device structures formed in and on the substrate. The semiconductor device structures comprise conductor layers embedded in openings in dielectric layers having a dielectric constant of less than 4.5. The dielectric layer has a roughness between the dielectric and the conductor wherein the roughness of the dielectric layer divided by the thickness of a barrier layer underlying the conductor layer is 0 to 1. The integrated circuit structure is prepared for failure analysis by removing the low dielectric constant dielectric layers and exposing the conductor layers for further failure analysis by optical examination or scanning electron microscope (SEM).
    Type: Application
    Filed: June 4, 2004
    Publication date: December 8, 2005
    Inventors: Hway Lin, Yi-Lung Cheng, Chao-Hsiung Wang
  • Publication number: 20050236716
    Abstract: A semiconductor structure and method for dissipating heat away from a semiconductor device having a plurality of power lines is provided. The semiconductor structure includes a semiconductor substrate and a plurality of interconnect structures disposed on the substrate and in contact therewith and extending through the semiconductor device, the interconnect structures for dissipating heat to the substrate. Each of the plurality of interconnect structures comprises at least one via stack.
    Type: Application
    Filed: April 22, 2004
    Publication date: October 27, 2005
    Inventors: Hsuch-Chung Chen, Yi-Lung Cheng, Hsien-Wei Chen, Shin-Puu Jeng
  • Publication number: 20050205991
    Abstract: The present disclosure provides a method and system for heat dissipation in semiconductor devices. In one example, an integrated circuit semiconductor device includes a semiconductor substrate; one or more metallurgy layers connected to the semiconductor substrate, and each of the one or more metallurgy layers includes: one or more conductive lines; and one or more dummy structures between the one or more conductive lines and at least two of the one or more dummy structures are connected; and one or more dielectric layers between the one or more metallurgy layers.
    Type: Application
    Filed: March 16, 2004
    Publication date: September 22, 2005
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hsien-Wei Chen, Jiun-Lin Yeh, Shin-Puu Jeng, Yi-Lung Cheng
  • Publication number: 20050153537
    Abstract: A method for forming a composite barrier layer that also functions as an etch stop in a damascene process is disclosed. A SiC layer is deposited on a substrate in a CVD process chamber followed by deposition of a silicon nitride layer to complete the composite barrier layer. The SiC layer exhibits excellent adhesion to a copper layer in the substrate and is formed by a method that avoids reactive Si+4 species and thereby prevents CuSiX formation. The silicon nitride layer thickness is sufficient to provide superior barrier capability to metal ions but is kept as thin as possible to minimize the dielectric constant of the composite barrier layer. The composite barrier layer provides excellent resistance to copper oxidation during oxygen ashing steps and enables a copper layer to be fabricated with a lower leakage current than when a conventional silicon nitride barrier layer is employed.
    Type: Application
    Filed: January 8, 2004
    Publication date: July 14, 2005
    Inventors: Yi-Lung Cheng, Ying-Lung Wang
  • Publication number: 20050121751
    Abstract: A method for fabricating a dielectric layer provides for use of a carbon source material separate from a halogen source material when forming a carbon and halogen doped silicate glass dielectric layer. The use of separate carbon and halogen source materials provides enhanced process latitude when forming the carbon and halogen doped silicate glass dielectric layer. Such a carbon and halogen doped silicate glass dielectric layer having a dielectric constant greater than about 3.0 is particularly useful as an intrinsic planarizing stop layer within a damascene method. A bilayer dielectric layer construction comprising a carbon and halogen doped silicate glass and a carbon doped silicate glass dielectric layer absent halogen doping is useful within a dual damascene method.
    Type: Application
    Filed: December 4, 2003
    Publication date: June 9, 2005
    Inventors: Shiu-Ko Jangjian, Jun Wu, Chi-Wen Liu, Ying-Lung Wang, Yi-Lung Cheng, Michael Chang, Szu-An Wu
  • Publication number: 20050124151
    Abstract: A method is disclosed for depositing a Black Diamond layer in a CVD chamber. Trimethylsilane, O2, and Ar are flowed into the chamber at 300° C. to 400° C. with an O2:Ar:trimethylsilane flow rate ratio that is preferably 1:1.5:6. The resulting low k dielectric layer is formed with a higher deposition rate than when Ar is omitted and has a k value of about 3 that increases only slightly in O2 plasma. A higher density, hardness, and tensile strength are achieved in the Black Diamond layer when Ar is included in the deposition process. The addition of Ar in the deposition maintains film thickness uniformity below 2% for a longer period so that PM cleaning operations are less frequent and affords a lower fluorocarbon plasma etch rate to enable improved trench depth control in a damascene scheme. A lower leakage current and higher breakdown voltage in achieved in the resulting metal interconnect.
    Type: Application
    Filed: December 4, 2003
    Publication date: June 9, 2005
    Inventors: Yi-Lung Cheng, Ren-Haur Liu, Cheng-Hsiung Liu, Ying-Lang Wang, Hway-Chi Lin, Chien-Ming Chiu
  • Patent number: 6903019
    Abstract: Two problems seen in CMP as currently executed are a tendency for slurry particles to remain on the surface and the formation of a final layer of oxide. These problems have been solved by adding to the slurry a quantity of TMAH or TBAH. This has the effect of rendering the surface being polished hydrophobic. In that state a residual layer of oxide will not be left on the surface at the conclusion of CMP. Nor will many slurry abrasive particles remain cling to the freshly polished surface. Those that do are readily removed by a simple rinse or buffing. As an alternative, the CMP process may be performed in three stages—first convention CMP, then polishing in a solution of TMAH or TBAH, and finally a gentle rinse or buffing.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: June 7, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ying-Lang Wang, Shih-Chi Lin, Yi-Lung Cheng, Chi-Wen Liu, Ming-Hua Yoo, Wen-Kung Cheng, Jiann-Kwang Wang
  • Publication number: 20050112859
    Abstract: A method of forming an opening in a stack of insulator layers featuring an underlying etch stop layer comprised of a tri-layer insulator composite, has been developed. The tri-layer insulator composite comprised of a bottom silicon rich, silicon oxide layer and a top silicon nitride layer, is first formed on a conductive region of a semiconductor substrate. After deposition of overlying insulator layers a photoresist shape is used as a etch mask to allow the desired contact or via hole shape to be defined in the overlying insulator layers via a first phase of an anisotropic dry etch procedure, with the first phase of the dry etching procedure terminating at the top surface of the silicon nitride layer. An over etch procedure used to insure complete removal of overlying insulator layer from the surface of the tri-layer insulator composite, is next performed as a second phase of the anisotropic dry etch procedure.
    Type: Application
    Filed: November 21, 2003
    Publication date: May 26, 2005
    Inventors: Yi-Lung Cheng, Shih-Chia Cheng
  • Publication number: 20050106858
    Abstract: A method is disclosed for reducing metal diffusion in a semiconductor device. After forming a first metal portion over a substrate, a silicon carbon nitro-oxide (SiCNO) layer is deposited on the first metal portion. A dielectric layer is deposited over the SiCNO layer, and an opening is generated in the SiCNO layer and the dielectric layer for a second metal portion to be connected to the first metal portion, wherein the SiCNO layer reduces the diffusion of the first metal portion into the dielectric layer.
    Type: Application
    Filed: November 19, 2003
    Publication date: May 19, 2005
    Inventors: Yi-Lung Cheng, Ying-Lang Wang
  • Publication number: 20050009367
    Abstract: A method of forming an FSG film comprising the following steps. A structure is provided. An FSG film is formed over the structure by an HDP-CVD process under the following conditions: no Argon (Ar)—side sputter; SiF4 flow: from about 53 to 63 sccm; an N2 flow: from about 25 to 35 sccm; and an RF power to provide a uniform plasma density.
    Type: Application
    Filed: July 9, 2003
    Publication date: January 13, 2005
    Inventors: Yi-Lung Cheng, Ming-Hwa Yoo, Szu-An Wu, Ying-Lang Wang, Pei-Fen Chou
  • Patent number: 6815072
    Abstract: A method for reducing contaminants in a processing chamber 10 having chamber plasma processing region components comprising the following steps. The chamber plasma processing region components are cleaned. The chamber is then seasoned as follows. A first USG layer is formed over the chamber plasma processing region components. An FSG layer is formed over the first USG layer. A second USG layer is formed over the FSG layer. Wherein the USG, FSG, and second USG layers comprise a UFU season film. A UFU season film coating the chamber plasma processing region components of a processing chamber comprises: an inner USG layer over the chamber plasma processing region components; an FSG layer over the inner USG layer; and an outer USG layer over the FSG layer.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: November 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Hwa Yoo, Yi-Lung Cheng, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6815007
    Abstract: A method for reducing contaminants in a processing chamber having an inner wall by seasoning the walls. The method comprising the following steps. A first USG film is formed over the processing chamber inner wall. An FSG film is formed over the first USG film. A second USG film is formed over the FSG film. A nitrogen-containing film is formed over the second USG film wherein the first USG film, the FSG film, the second USG film and the nitrogen-containing film comprise a UFUN season film.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: November 9, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Hwa Yoo, Shih-Chi Lin, Yi-Lung Cheng, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6802935
    Abstract: A semiconductor processing apparatus and method are disclosed herein, including a plurality of process chambers, wherein at least one semiconductor processing operation occurs within each process chamber among the plurality of process chambers. Additionally, the apparatus and method disclosed herein include a robot mechanism for rotating each process chamber among the plurality of process chambers upon completion of an associated semiconductor processing operation. Such a robot mechanism may comprise a plurality of robots. Specifically, such a plurality of robots may include six robots configured on an associated carousel.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: October 12, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yi-Lung Cheng, Hui-Chi Lin, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6790778
    Abstract: A method for capping over a copper layer. A copper layer is deposited overlying a substrate. The copper surface is treated with hydrogen-containing plasma to remove copper oxides formed thereon, thereby suppressing copper hillock formation. The treated copper surface is treated again with nitrogen-containing plasma to improve adhesion of the copper surface. A capping layer is formed on the copper layer.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: September 14, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Lung Cheng, Ying-Lang Wang, We-Li Chen