Polishing method and polishing composition used for polishing

A polishing method for reliably polishing a polishing target and a polishing composition used for polishing are provided. The polishing method of the present invention includes a first step in which the polishing target is polished with a first polishing composition, a second step in which the polishing target is polished with a second polishing composition, and a third step in which polishing target is polished with a third polishing composition. The polishing target is a multilayer, which includes an insulation layer, which has trenches on its surface, a barrier layer located on the insulation layer, and a conductor layer located on the barrier layer. In the first step, part of a portion of the conductor layer located outside the trenches is removed. In the second step, a remaining part of the portion of the conductor layer located outside the trenches is removed. In the third step, a portion of the barrier layer located outside the trenches is removed.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND OF THE INVENTION

[0001] The present invention relates to a polishing method used when, for example, forming a wiring structure of a semiconductor device, and to a polishing composition used for polishing.

[0002] A wiring structure of a semiconductor device can be formed by using a chemical mechanical polishing (CMP) method. When forming a wiring structure using the CMP method, a multilayer is prepared as a polishing target. As shown in FIG. 1(a), the multilayer includes an insulation layer 11, a barrier layer 14, which is located on the insulation layer 11; and a conductor layer 13, which is located on the barrier layer 14. The insulation layer 11 has trenches 12 on its surface. Each of the barrier layer 14 and the conductor layer 13 has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12.

[0003] The multilayer is polished to remove the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14. As a result, a wiring portion 17 (see FIG. 1(d)), which is made of the inner portion of the conductor layer 13, is formed in the trenches 12.

[0004] The following first and second methods have been proposed as methods for polishing a multilayer.

[0005] In the first method, a multilayer is polished using a polishing composition that efficiently polishes the conductor layer 13 to remove the outer portion of the conductor layer 13. Then, the multilayer is polished using a different polishing composition that efficiently polishes the barrier layer 14 to remove the outer portion of the barrier layer 14.

[0006] In the second method, a multilayer is polished using a polishing composition that efficiently polishes the conductor layer 13 to remove part of the outer portion of the conductor layer 13. Then, the multilayer is polished using a different polishing composition that efficiently polishes the conductor layer 13 and the barrier layer 14 to remove a remaining part of the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14.

[0007] However, in the first method, a considerable amount of the inner portion of the conductor layer 13 is removed with the outer portion of the conductor layer 13 in the first polishing step. In the second method, a considerable amount of the inner portion of the conductor layer 13 is removed with the remaining part of the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14 in the second polishing step.

SUMMARY OF THE INVENTION

[0008] Accordingly, it is an objective of the present invention to provide a polishing method that reliably polishes a polishing target and a polishing composition used for polishing.

[0009] To achieve the above objective, the present invention provides a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and &agr;-alanine; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.

[0010] The present invention also provides a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target with a first polishing composition to remove part of the outer portion of the conductor layer; polishing the polishing target with a second polishing composition to remove a remaining part of the outside portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and &agr;-alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1), 1

[0011] wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20, and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.

[0012] A further aspect of the present invention is a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

[0013] The present invention may also be embodied in a method for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The method includes: polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; and polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water. Each abrasive includes at least one of silicon dioxide and aluminum oxide. Each polishing accelerator includes at least one of glycine and &agr;-alanine. The organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1). 2

[0014] Each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10. Each of X and Y represents an ethyleneoxy group or a propyleneoxy group. Each of m and n represents any of integer numbers 1 to 20. The acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid. The alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide. Each corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

[0015] The present invention provides a polishing composition used for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The polishing composition is used to polish the polishing target to remove part of the outer portion of the conductor layer. The polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water. The abrasive includes at least one of silicon dioxide and aluminum oxide. The polishing accelerator includes at least one of glycine and &agr;-alanine.

[0016] The present invention also provides a polishing composition used for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The polishing composition is used to polish the polishing target from which part of the outer portion of the conductor layer is removed by prepolishing to remove a remaining part of the outer portion of the conductor layer. The polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water. The abrasive includes at least one of silicon dioxide and aluminum oxide. The polishing accelerator includes at least one of glycine and &agr;-alanine. The organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1). 3

[0017] Each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10. Each of X and Y represents an ethyleneoxy group or a propyleneoxy group. Each of m and n represents any of integer numbers 1 to 20. The corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

[0018] A further aspect of the present invention is a polishing composition used for polishing a polishing target. The polishing target has an insulation layer, a barrier layer, and a conductor layer. The insulation layer has a surface, which includes a trench. The barrier layer is located on the insulation layer. The conductor layer is made of metal that includes copper and is located on the barrier layer. Each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench. The polishing composition is used to polish the polishing target from which the outer portion of the conductor layer is removed by prepolishing to remove the outer portion of the barrier layer. The polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water. The abrasive includes at least one of silicon dioxide and aluminum oxide. The acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid. The alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide. The corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

[0019] Other aspects and advantages of the invention will become apparent from the following description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.

BRIEF DESCRIPTION OF THE DRAWINGS

[0020] The invention, together with objects and advantages thereof, may best be understood by reference to the following description of the presently preferred embodiments together with the accompanying drawings in which:

[0021] FIGS. 1(a) to 1(d) are cross-sectional views illustrating part of a multilayer to explain a polishing method according to a preferred embodiment of the present invention;

[0022] FIG. 2(a) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(c) where dishing occurred;

[0023] FIG. 2(b) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(c) where erosion occurred;

[0024] FIG. 3(a) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(d) where dishing occurred; and

[0025] FIG. 3(b) is an enlarged partial cross-sectional view illustrating a portion of the multilayer shown in FIG. 1(d) where erosion occurred.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

[0026] A preferred embodiment of the present invention will now be described with reference to FIGS. 1(a) to 3(b).

[0027] A polishing method and a polishing composition according to the preferred embodiment are used when forming a wiring structure of a semiconductor device. A method for manufacturing a multilayer that is prepared for forming a wiring structure of a semiconductor device will be described first. A multilayer is generally manufactured in the following manner.

[0028] As shown in FIG. 1(a), an insulation layer 11 is formed on a base plate (not shown). The insulation layer 11 is, for example, a Sio2 film, a SiOF film, or a SiOC film; and is formed by a chemical vapor deposition (CVD) method, which uses tetraethoxysilane (TEOS) The surface of the insulation layer 11 is preferably flat.

[0029] Then, trenches 12 are formed on the surface of the insulation layer 11. The trenches 12 are formed by known lithography or etching, and have a predetermined pattern based on a circuit design.

[0030] Then, a barrier layer 14 is formed on the insulation layer 11. The barrier layer 14 is formed by a spattering method. The barrier layer 14 is formed of a simple substance of tantalum or a compound that includes tantalum, such as tantalum nitride. The barrier layer 14 prevents copper in a conductor layer 13, which will be described later, from being scattered to the insulation layer 11. The barrier layer 14 has a predetermined thickness and has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12.

[0031] Consequently, the conductor layer 13 is formed on the barrier layer 14. The conductor layer 13 is formed of metal that includes copper, such as a simple substance of copper, an alloy of copper and aluminum, and an alloy of copper and titanium. The conductor layer 13 completely fills the trenches 12. The conductor layer 13 has an inner portion located inside the trenches 12 and an outer portion located outside the trenches 12. Hollows 15 are formed due to the trenches 12 at the portions of the surface of the conductor layer 13 that correspond to the trenches 12. The depth of the hollows 15 is referred to as a step height.

[0032] The multilayer manufactured as mentioned above is then polished to remove the outer portion of the conductor layer 13 and the outer portion of the barrier layer 14. As a result, a wiring portion 17 (see FIG. 1(d)), which is made by the inner portion of the conductor layer 13, is formed in the trenches 12.

[0033] The multilayer is polished in the following manner in the preferred embodiment.

[0034] In a first step, the multilayer is polished using a first polishing composition to remove part of the outer portion of the conductor layer 13 (see FIG. 1(b)). After polishing with the first polishing composition, the hollows 15 are preferably removed almost completely. The depth of the hollows 15 after polishing is preferably less than or equal to 500 Å, and more preferably, less than or equal to 200 Å.

[0035] In a second step, the multilayer is polished using a second polishing composition to remove a remaining part of the outer portion of the conductor layer 13 (see FIG. 1(c)).

[0036] The dimension represented by d1 in FIG. 2(a) is a dishing amount measured after polishing with the second polishing composition at a portion of the multilayer that corresponds to the trench 12 the width of which is relatively large, for example, a portion of the multilayer that corresponds to the trench 12 the width of which is 10 &mgr;m. The dishing amount dl is preferably less than or equal to 500 Å, and more preferably, less than or equal to 300 Å. The dishing is a phenomenon where part of the inner portion of the conductor layer 13 is removed, and the surface of the inner portion of the conductor layer 13 becomes hollow.

[0037] The dimension represented by e1 in FIG. 2(b) is an erosion amount measured after polishing with the second polishing composition at a portion of the multilayer where the trenches 12 are densely arranged, such as where the trenches 12 the width of which is 9 &mgr;m are located at intervals of 1 &mgr;m. The erosion amount e1 is preferably less than or equal to 500 Å, and more preferably, less than or equal to 300 Å. The erosion is a phenomenon where part of the insulation layer 11 between the adjacent trenches 12 is removed, and the surface of the portion of the multilayer where the trenches 12 are arranged densely becomes hollow. The portion of the multilayer where the proportion of the trenches 12 to the entire multilayer is 90%, such as the portion of the multilayer where the trenches 12 the width of which is 9 &mgr;m are located at intervals of 1 &mgr;m, is referred to as a 90% high density wiring area.

[0038] Finally, in a third step, the multilayer is polished using a third polishing composition to remove the outer portion of the barrier layer 14 (see FIG. 1(d)).

[0039] The dimension represented by d2 shown in FIG. 3(a) is a dishing amount measured after polishing with the third polishing composition at a portion of the multilayer that corresponds to the trench 12, the width of which is relatively large, for example, a portion of the multilayer that corresponds to the trench 12 the width of which is 10 &mgr;m. The dimension represented by e2 shown in FIG. 3(b) is an erosion amount measured after polishing with the third polishing composition at a portion of the multilayer where the trenches 12 are densely arranged, such as where the trenches 12, the width of which is 9 &mgr;m, are located at intervals of 1 &mgr;m.

[0040] The polishing time taken in each of the first to third steps is preferably the same as the polishing time of the other two steps. This improves the efficiency in forming the wiring structure.

[0041] The first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water. The second polishing composition includes abrasive, a polishing accelerator, a nonionic organic compound, a corrosion inhibitor, hydrogen peroxide, and water. The third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water, and further includes a polishing accelerator and hydrogen peroxide as required. If part of the outer portion of the conductor layer 13 remains after polishing with the second polishing composition, the third polishing composition preferably includes hydrogen peroxide.

[0042] The abrasive serves to mechanically polish the polishing target. Each of the first to third polishing compositions includes at least one of silicon dioxide and aluminum oxide. Silicon dioxide, such as colloidal silica and fumed silica, is preferably used as abrasive. Colloidal silica is particularly preferable for abrasive. This is because silicon dioxide is highly stable and colloidal silica does not easily form scratches on the polishing target.

[0043] The average particle size of the abrasive obtained from the surface area of the abrasive measured by a BET method is preferably 3 to 100 nm, more preferably 5 to 60 nm, and most preferably 10 to 50 nm. If the average particle size of the abrasive is less than 3 nm, the polishing performance of the polishing composition deteriorates. If the average particle size of the abrasive exceeds 100 nm, the polishing composition often forms scratches on the polishing target.

[0044] The content of abrasive in each of the first to third polishing compositions is preferably 1 to 100 g/L, more preferably 2 to 50 g/L. If the content of abrasive is less than 1 g/L, the polishing performance of the polishing composition decreases. If the content exceeds 100 g/L, the abrasive easily cohere with each other in the polishing composition and the polishing composition often forms scratches on the polishing target.

[0045] The polishing accelerator causes a chelate bond with copper in the conductor layer 13 to accelerate polishing of the conductor layer 13. Each of the first and second polishing compositions includes at least one of glycine and &agr;-alanine as the polishing accelerator. A preferable polishing accelerator is &agr;-alanine since the polishing composition that includes &agr;-alanine reliably polishes the polishing target.

[0046] The content of the polishing accelerator in the first polishing composition is preferably 2 to 30 g/L, more preferably 5 to 20 g/L. If the content of the polishing accelerator is less than 2 g/L, the polishing performance of the first polishing composition deteriorates, and if the content of the polishing accelerator exceeds 30 g/L, the polishing performance of the first polishing composition excessively increases. The content of the polishing accelerator in the second polishing composition is preferably 2 to 20 g/L, more preferably 5 to 20 g/L. If the content of the polishing accelerator is less than 2 g/L, the polishing performance of the second polishing composition deteriorates, and if the content of the polishing accelerator exceeds 20 g/L, the polishing performance of the second polishing composition excessively increases.

[0047] The nonionic organic compound serves to suppress generation of dishing and erosion. The second polishing composition includes at least one of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1). In the chemical formula (1), each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, each of X and Y represents an ethyleneoxy group or a propyleneoxy group, and each of m and n represents any of integer numbers 1 to 20. 4

[0048] The second polishing composition preferably includes dialkyl dimethyl butynediol polyoxyethylene glycol ether represented by the following chemical formula (2). The second polishing composition more preferably includes the dialkyl dimethyl butynediol polyoxyethylene glycol ether and the polyoxyethylene polyoxypropylene alkyl ether. The dialkyl dimethyl butynediol polyoxyethylene glycol ether is kind of the addition polymer of polyoxyalkylene and strongly suppresses the inner portion of the conductor layer 13 from being removed by chemical etching. In the chemical formula (2), each of R5 and R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, and each of m and n represents any of integer numbers 1 to 20. 5

[0049] The polyethylene oxide is also referred to as polyethylene glycol and is represented by the following chemical formula (3). The polypropylene oxide is also referred to as polypropylene glycol and is represented by the following chemical formula (4). The average molecular weight of the polyethylene oxide and the polypropylene oxide is preferably 100 to 10000, more preferably 200 to 1000. If the average molecular weight is less than 100, occurrence of dishing and erosion is not effectively suppressed. If the average molecular weight exceeds 10000, the solubility in water is decreased. A character n in the chemical formula (3) represents the number average degree of polymerization of ethylene glycol, and a character m in the chemical formula (4) represents the number average degree of polymerization of propylene glycol.

H—(OCH2CH2)n—OH  (3)

H—(OCH (CH3)CH2)m—OH  (4)

[0050] The polyoxyethylene alkyl ether is combined by addition polymerization of ethylene oxide with straight chain or branched higher alcohol. The polyoxyethylene alkyl ether is represented by the following chemical formula (5). The polyoxypropylene alkyl ether is combined by addition polymerization of propylene oxide with straight chain or branched higher alcohol. The polyoxypropylene alkyl ether is represented by the following chemical formula (6). In chemical formulas (5) and (6), R represents an alkyl group, n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol.

R—O—(CH2CH2O)n—H  (5)

R—O—(CH2CH(CH3)O)m  (6)

[0051] The polyoxyethylene polyoxypropylene alkyl ether is combined by addition polymerization of propylene oxide and ethylene oxide with straight chain or branched higher alcohol. The polyoxyethylene polyoxypropylene alkyl ether is represented by the following chemical formula (7). In the chemical formula (7), R represents an alkyl group, n represents the number average degree of polymerization of ethylene glycol, and m represents the number average degree of polymerization of propylene glycol.

R—O—(CH2CH(CH3)O)m—(CH2CH2O)n—H  (7)

[0052] The proportion of hydrophilic group, or ethylene oxide, in the molecules of each of the polyoxyethylene alkyl ether, the polyoxypropylene alkyl ether, the polyoxyethylene polyoxypropylene alkyl ether, and the addition polymer of polyoxyalkylene is preferably 10 to 80%. If the proportion is less than 10% or greater than 80%, occurrence of dishing and erosion is not effectively suppressed.

[0053] The average molecular weight of each of the polyoxyethylene alkyl ether, the polyoxypropylene alkyl ether, the polyoxyethylene polyoxypropylene alkyl ether, and the addition polymer of polyoxyalkylene is preferably 1000 to 30000, more preferably 2000 to 20000. If the average molecular weight is less than 1000, occurrence of dishing and erosion is not effectively suppressed. If the average molecular weight exceeds 30000, the solubility in water is decreased.

[0054] The content of nonionic organic compound in the second polishing composition is preferably 2 to 30 g/L, more preferably 4 to 20 g/L. If the content of the nonionic organic compound is less than 2 g/L, occurrence of dishing and erosion is not effectively suppressed. If the content of the nonionic organic compound exceeds 30 g/L, the polishing performance of the second polishing composition deteriorates.

[0055] When the polishing target, which includes the conductor layer 13 including copper and the barrier layer 14 including tantalum, is polished with the conventional polishing composition, an electrochemical reaction occurs between copper and tantalum. As a result, the conductor layer 13 is selectively removed and dishing is caused. This is because the conventional polishing composition functions as an electrolyte during polishing. In contrast, the second polishing composition according to the preferred embodiment does not function as an electrolyte during polishing. Therefore, when polishing with the second polishing composition, occurrence of dishing due to electrochemical reaction is prevented. The second polishing composition does not function as an electrolyte during polishing because the nonionic organic compound included in the second polishing composition decreases the electric conductivity of the second polishing composition.

[0056] The corrosion inhibitor serves to suppress corrosion of the conductor layer 13 during and after polishing, and to suppress occurrence of dishing and erosion. Each of the second and third polishing compositions includes at least one of benzotriazole and a derivative of benzotriazole as the corrosion inhibitor. The preferable corrosion inhibitor is benzotriazole since the benzotriazole more reliably prevent corrosion of the conductor layer 13.

[0057] The benzotriazole and a derivative of benzotriazole are represented by the following chemical formula (8). In the chemical formula (8), each of R7 to R11 represents a hydrogen atom or an alkyl group. When R11 represents an alkyl group, the alkyl group may include hydroxyl group or carboxyl group. Fourth, fifth, sixth, and seventh carbon atoms in the chemical formula (8) may be replaced with nitrogen atoms. A third nitrogen atom may be replaced with a carbon atom. 6

[0058] Examples of a derivative of benzotriazole are 1-(2,3dihydroxypropyl) benzotriazole, 1-[N, N-Bis (hydroxyethyl) aminomethyl] benzotriazole, 1-(hydroxymethyl) benzotriazole, and 1-(1,2-dicarboxyethyl) benzotriazole.

[0059] The content of the corrosion inhibitor in each of the second and third polishing compositions is preferably 0.01 to 0.1 g/L, more preferably 0.02 to 0.06 g/L. If the content of the corrosion inhibitor is less than 0.01 g/L, occurrence of dishing and erosion is not effectively suppressed. If the content of the corrosion inhibitor exceeds 0.1 g/L, the polishing performance of the polishing composition deteriorates.

[0060] The acid and alkali serves to accelerate polishing of the barrier layer 14. The third polishing composition includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid, or at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide. The preferable acid is lactic acid and nitric acid. The preferable alkali is potassium hydroxide. This is because the lactic acid, nitric acid, and potassium hydroxide strongly accelerate polishing of the barrier layer 14.

[0061] The content of acid and the content of alkali in the third polishing composition are preferably 1 to 20 g/L, more preferably 2 to 10 g/L. If the content of acid or the content of alkali is less than 1 g/L, polishing of the barrier layer 14 is not effectively accelerated. If the content of acid or the content of alkali exceeds 20 g/L, handling of the third polishing composition becomes difficult.

[0062] When the third polishing composition includes acid, the pH of the third polishing composition is preferably 2 to 4. If the pH is less than 2, handling of the third polishing composition becomes difficult. If the pH exceeds 4, polishing of the barrier layer 14 is not effectively accelerated. When the third polishing composition includes alkali, the pH of the third polishing composition is preferably 9 to 11. If the pH exceeds 11, handling of the third polishing composition becomes difficult. If the pH is less than 9, polishing of the barrier layer 14 is not effectively accelerated.

[0063] The hydrogen peroxide serves as an oxidant to accelerate polishing of the conductor layer 13. The content of the hydrogen peroxide in the first polishing composition is preferably 1 to 20 g/L, more preferably 3 to 10 g/L. If the content of the hydrogen peroxide is less than 1 g/L or if the content of the hydrogen peroxide is greater than 20 g/L, polishing of the conductor layer 13 is not effectively accelerated. The content of the hydrogen peroxide in the second polishing composition is preferably 1 to 15 g/L, more preferably 2 to 10 g/L. If the content of the hydrogen peroxide is less than 1 g/L or if the content of the hydrogen peroxide exceeds 15 g/L, polishing of the conductor layer 13 is not effectively accelerated. When the third polishing composition includes the hydrogen peroxide, the content of the hydrogen peroxide in the third polishing composition is preferably 0.5 to 20 g/L, more preferably 1 to 10 g/L. If the content of the hydrogen peroxide is less than 0.5 g/L, polishing of the conductor layer 13 is not effectively accelerated. If the content of the hydrogen peroxide exceeds 20 g/L, dishing and erosion are often caused.

[0064] The water serves as a medium for dispersing and dissolving components other than water included in each of the first to third polishing compositions. The water preferably does not include impurities. The preferable water is filtered ion exchanged water and distilled water.

[0065] The rate that the first polishing composition polishes the conductor layer 13 is preferably 5000 to 100000 Å/min., more preferably 7000 to 9000 Å/min. If the rate is less than 5000 Å/min., the polishing time is increased. If the rate exceeds 10000 Å/min., the polishing rate is not easily maintained in a stable manner.

[0066] The rate that the second polishing composition polishes the conductor layer 13 is preferably 1000 to 4000 Å/min., more preferably 2000 to 3000 Å/min. If the rate is less than 10000 Å/min., the polishing time is increased. If the rate exceeds 4000 Å/min., dishing and erosion are often caused.

[0067] The rate that the second polishing composition polishes the conductor layer 13 is preferably 100 to 10000 times the rate that the second polishing composition polishes the barrier layer 14. More preferably, the rate that the second polishing composition polishes the conductor layer 13 is 200 to 800 times the rate that the second polishing composition polishes the barrier layer 14. If the rate of polishing the conductor layer 13 is less than 100 times the rate of polishing the barrier layer 14, the barrier layer 14 is excessively polished. It is difficult to prepare the second polishing composition that polishes the conductor layer 13 at the polishing rate that exceeds 10000 times the rate of polishing the barrier layer 14.

[0068] The rate that the third polishing composition polishes the barrier layer 14 is preferably 500 to 1500 Å/min., more preferably 700 to 1000 Å/min. If the rate is less than 500 Å/min., the polishing time increases. If the rate exceeds 1500 Å/min., the polishing speed is not easily maintained in a stable manner.

[0069] The rate that the third polishing composition polishes the insulation layer 11 is preferably less than 100 Å/min., more preferably less than 50 Å/min. If the rate exceeds 100 Å/min., the insulation layer 11 is excessively polished.

[0070] The rate that the third polishing composition that does not include hydrogen peroxide polishes the conductor layer 13 is preferably less than 100 Å/min., more preferably less than 50 Å/min. If the rate exceeds 100 Å/min., dishing and erosion are often caused. The rate that the third polishing composition that includes hydrogen peroxide polishes the conductor layer 13 is preferably 100 to 300 Å/min., more preferably 150 to 250 Å/min. If the rate is less than 100 Å/min., the polishing time increases. If the rate exceeds 300 Å/min., dishing and erosion are often caused.

[0071] It should be apparent to those skilled in the art that the present invention may be embodied in many other specific forms without departing from the spirit or scope of the invention. Particularly, it should be understood that the invention may be embodied in the following forms.

[0072] Each of the first to third polishing compositions may be formed by mixing agents that are prepared separately when using the first, second, or third polishing composition. For example, the first polishing composition may be prepared by mixing a mixture of abrasive, a polishing accelerator, and water with hydrogen peroxide when using the first polishing composition. The second polishing composition may be prepared by mixing a mixture of abrasive, a polishing accelerator, a nonionic organic compound, a corrosion inhibitor, and water with hydrogen peroxide when using the second polishing composition. The third polishing composition may be prepared by mixing a mixture of abrasive, acid or alkali, a corrosion inhibitor, and water with hydrogen peroxide when using the third polishing composition.

[0073] Alternatively, a main composition, which includes abrasive, a polishing accelerator, and water; a first sub-composition, which includes a nonionic organic compound, a corrosion inhibitor, and water; a second sub-composition, which includes acid or alkali, a corrosion inhibitor, and water; and hydrogen peroxide may be prepared in advance. In this case, the first polishing composition is prepared by mixing the main composition and the hydrogen peroxide, the second polishing composition is prepared by mixing the main composition, the first sub-composition, and the hydrogen peroxide, and the third polishing composition is prepared by mixing the main composition, the second sub-composition, and hydrogen peroxide as required.

[0074] In general, liquid that includes abrasive is difficult to preserve. Therefore, a complicated maintenance is required to preserve the first to third polishing compositions in a good condition for a long time. However, as mentioned above, in the case where each of the first to third polishing compositions is formed by mixing agents that are separately prepared when using the first, second, or third polishing composition, only the main composition includes abrasive during preservation, which reduces the maintenance process.

[0075] Each of the main composition, the first sub-composition, and the second sub-composition preferably includes components other than water at a relatively high density to reduce the transport cost of the compositions. More specifically, it is preferable that the main composition is reliably used as the first polishing composition by diluting the main composition with water that is 2 to 20 times the volume of the main composition and adding hydrogen peroxide. It is more preferable that the main composition is reliably used as the first polishing composition by diluting the main composition with water that is 5 to 15 times the volume of the main composition and adding hydrogen peroxide. It is preferable that a mixture of the main composition and the first sub-composition that is 1 to 9 times the volume of the main composition is reliably used as the second polishing composition by diluting the mixture with water that is 1 to 10 times the volume of the main composition and adding hydrogen peroxide. It is preferable that a mixture of the main composition and the second sub-composition that is 1 to 7 times the volume of the main composition is reliably used as the third polishing composition by diluting the mixture with water that is 1 to 16 times the volume of the main composition and adding hydrogen peroxide as required.

[0076] The first polishing composition may further include the corrosion inhibitor. In this case, when the multilayer is exposed to the first polishing composition for a long time in the first step, the conductor layer 13 is prevented from being polished excessively.

[0077] The present invention will further be described with examples and comparison examples.

EXAMPLES 1 TO 11 AND COMPARISON EXAMPLES 1 TO 3

[0078] Several main compositions were prepared by mixing abrasive, which is colloidal silica the average particle size of which is 35 nm; a polishing accelerator, which is &agr;-alanine or glycine; a corrosion inhibitor, which is benzotriazole; and water. In the examples 1 to 8 and the comparison examples 1 to 3, &agr;-alanine was used as the polishing accelerator. In the examples 9 to 11, glycine was used as the polishing accelerator. Several first polishing compositions were prepared by adding 30% aqueous solution of hydrogen peroxide and water to the main composition.

[0079] A copper blanket wafer was polished with each first polishing composition under the following polishing conditions (1) for one minute. The thickness of each copper blanket wafer was measured before and after polishing using a sheet resistor“IVR-120” manufactured by Kokusai Electric System Service Co., Ltd. The polishing speed was calculated from the difference between the thicknesses before and after polishing. The result is shown in Table 1. The copper blanket wafer is manufactured by forming a copper film on a surface of a silicon wafer by electrolytic plating.

[0080] The copper pattern wafer, which is 854 mask pattern manufactured by SEMATECH, Inc., was polished with each first polishing composition under the following polishing conditions (1). The copper pattern wafer is manufactured by depositing a barrier layer, which is formed of tantalum and has the thickness of 250 Å, and a conductor layer, which is formed of copper and has the thickness of 10000 Å, on an insulation layer having trenches. Hollows the depths of which are 8000 Å are formed on portions of the surface of the conductor layer that correspond to the trenches. The polishing was terminated when the thickness of the conductor layer of the copper pattern wafer becomes 2000 Å. The step height was measured at the 90% high density wiring area of the copper pattern wafer using a profiler “HRP340” manufactured by KLA-Tencor Corporation. The result is shown in Table 1.

[0081] Polishing Conditions (1)

[0082] Polishing Machine: Polishing machine “Mirra” for single-sided

[0083] CMP manufactured by Applied Materials Inc.

[0084] Polishing Pad: Polyurethane polishing pad “IC-1000/Suba400” manufactured by Rodel Corporation

[0085] Polishing Pressure: 2.5 psi (approx. 17.3 kPa)

[0086] Rotational Speed Of Surface Plate: 90 rpm

[0087] Supply Speed Of First Polishing Composition: 200 ml/min.

[0088] Rotational Speed Of Carrier: 90 rpm 1 TABLE 1 Main Composition First Polishing (g/L) Main Composition (g/L) Polishing Step Polishing Corrosion Composition:Water Polishing Corrosion Hydrogen Speed Height Abrasive Accelerator Inhibitor (Volume Ratio) Abrasive Accelerator Inhibitor Peroxide (Å/min.) (Å) Ex. 1 20 100 0.1 1:9 2 10 0.01 3 6000 150 Ex. 2 150 100 0.1 1:9 15 10 0.01 3 7410 150 Ex. 3 150 25 0.03 1:2 50 8.3 0.01 3 7680 150 Ex. 4 200 100 0.1  1:19 10 5 0.005 3 4420 150 Ex. 5 150 200 0.1 1:9 15 20 0.01 3 8090 210 Ex. 6 150 100 0.1 1:9 15 10 0.01 1 4430 150 Ex. 7 150 100 0.1 1:9 15 10 0.01 10 6990 150 Ex. 8 150 100 0 1:9 15 10 0 3 7920 200 Ex. 9 150 100 0.4 1:9 15 10 0.04 5 4920 150 Ex. 10 150 100 0.4 1:9 15 10 0.04 10 7970 150 Ex. 11 150 100 0.4 1:9 15 10 0.04 20 6980 150 C. Ex. 1 0 100 0.1 1:9 0 10 0.01 3 3010 — C. Ex. 2 150 0 0.1 1:9 15 0 0.01 3 1510 — C. Ex. 3 150 100 0.1 1:9 15 10 0.01 0 800 —

[0089] shown in Table 1, the polishing speeds when the first polishing compositions of the examples 1 to 11 were used were significantly greater than the polishing speeds when the first polishing compositions of the comparison examples 1 to 3 were used. In addition, the maximum step height measured after polishing with the first polishing compositions of the examples 1 to 11 was as small as 210 Å. On the other hand, the step height was incapable of measurement in the comparison examples 1 to 3. This is because when the first polishing compositions of the comparison examples 1 to 3 are used, the copper pattern wafer cannot be. polished till the thickness of the conductor layer becomes 2000 Å.

EXAMPLES 12 TO 23 AND COMPARISON EXAMPLES 4 TO 6

[0090] Several main compositions were prepared by mixing colloidal silica the average particle size of which is 35 nm, &agr;-alanine, benzotriazole, and water. Several first sub-compositions were prepared by mixing nonionic organic compound, benzotriazole, and water. Several second polishing compositions were prepared by mixing the main composition, the first sub-composition, 30% aqueous solution of hydrogen peroxide, and water. The nonionic organic compound is a mixture of diisobutyl dimethyl butynediol polyoxyethylene glycol ether represented by the following chemical formula (8) and polyoxyethylene polyoxypropylene alkyl ether the molecular weight of which is 8000 in the weight proportion of 2:1. 7

[0091] The copper blanket wafer, a tantalum blanket wafer, and a silicon dioxide blanket wafer were polished with each second polishing composition under the following polishing conditions (2) for one minute. The thickness of each blanket wafer was measured before and after polishing. The polishing speed was calculated from the difference between the thicknesses measured before and after polishing. The result is shown in Table 2. The thicknesses of the copper blanket wafer and the tantalum blanket wafer were measured by the sheet resistor “VR-120.” The thickness of the silicon dioxide blanket wafer was measured by an optical film thickness gauge “VM-2030” manufactured by Dainippon Screen Mfg. Co., Ltd. The tantalum blanket wafer is made by forming a tantalum film on the surface of a silicon wafer by a spattering method. The silicon dioxide blanket wafer is made by forming a silicon dioxide film on the surface of a silicon wafer by a CVD method.

[0092] The prepolished copper pattern wafer was polished with each second polishing composition under the following polishing conditions (2). The dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 &mgr;m was measured with the profiler “HRP340.” The erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. The result is shown in Table 2. The prepolished copper pattern wafer refers to the copper pattern wafer that is polished with any of the first polishing compositions of examples 1 to 5 and 8 till the thickness of the conductor layer becomes 2000 Å.

[0093] Polishing Conditions (2)

[0094] Polishing Machine: “Mirra”

[0095] Polishing Pad: Polyurethane polishing pad “IC-1400” manufactured by Rodel Corporation

[0096] Polishing Pressure: 2 psi (approx. 13.8 kPa)

[0097] Polishing Time: Time required for removing portion of the conductor layer outside the trenches and half that time.

[0098] Rotational Speed Of Surface Plate: 90 rpm

[0099] Supply Speed Of Second Polishing Composition: 200 ml/min.

[0100] Rotational Speed Of Carrier: 90 rpm 2 TABLE 2 Main Composition First Sub- (g/L) Composition (g/L) Main Composition: Polishing Corrosion Organic Corrosion First Sub-Composition:Water Abrasive Accelerator Inhibitor Compound Inhibitor (Volume Ratio) Ex. 12 20 100 0.1 23 0.06 1:3:6 Ex. 13 150 100 0.1 35 0.1 1:3:11 Ex. 14 150 25 0.03 21 0.05 1:1:1 Ex. 15 200 100 0.1 46 0.16 1:5:27 Ex. 16 150 200 0.1 46 0.15 1:3:16 Ex. 17 150 100 0.1 20 0.1 1:3:11 Ex. 18 150 100 0.1 44.5 0.05 1:9:10 Ex. 19 150 100 0.1 35 0.069 1:3:11 Ex. 20 150 100 0.1 35 0.265 1:3:11 Ex. 21 150 100 0 35 0.14 1:3:11 Ex. 22 150 100 0.1 35 0.1 1:3:11 Ex. 23 150 100 0.1 35 0.1 1:3:11 C. Ex. 4 150 100 0.1 0 0.1 1:3:11 C. Ex. 5 150 100 0 35 0 1:3:11 C. Ex. 6 150 100 0.1 35 0.1 1:3:11 Second Polishing First Polishing Polishing Speed Composition (g/L) Composition (Å/min.) Dishing Erosion Polishing Organic Corrosion Hydrogen Used In Silicon Amount Amount Abrasive Accelerator Compound Inhibitor Peroxide Prepolishing Copper Tantalum Dioxide (Å) (Å) Ex. 12 2.0 10.0 6.9 0.028 3 Ex. 1 2000 2 2 240 250 Ex. 13 10.0 6.7 7.0 0.027 3 Ex. 2 3540 5 4 240 250 Ex. 14 50.0 8.3 7.0 0.027 3 Ex. 3 3680 15 10 260 310 Ex. 15 6.1 3.0 7.0 0.027 3 Ex. 4 2230 4 3 250 250 Ex. 16 7.5 10.0 6.9 0.028 3 Ex. 5 4050 4 3 300 310 Ex. 17 10.0 6.7 4.0 0.027 3 Ex. 2 3630 5 4 290 290 Ex. 18 7.5 5.0 20.0 0.028 3 Ex. 2 3140 2 1 200 190 Ex. 19 10.0 6.7 7.0 0.020 3 Ex. 2 3930 5 4 310 310 Ex. 20 10.0 6.7 7.0 0.060 3 Ex. 2 2030 5 4 250 240 Ex. 21 10.0 6.7 7.0 0.028 3 Ex. 8 2960 5 4 260 250 Ex. 22 10.0 6.7 7.0 0.027 1 Ex. 2 2020 5 4 260 250 Ex. 23 10.0 6.7 7.0 0.027 10 Ex. 2 2510 5 4 240 260 C. Ex. 4 10.0 6.7 0 0.027 3 Ex. 2 3780 5 4 600 630 C. Ex. 5 10.0 6.7 7.0 0 3 Ex. 8 6620 5 4 820 910 C. Ex. 6 10.0 6.7 7.0 0.027 0 Ex. 2 600 5 4 — —

[0101] As shown in Table 2, the polishing speeds when the second polishing compositions of the examples 12 to 23 were used were fine. In addition, the maximum dishing amount and the maximum erosion amount measured after polishing with the second polishing compositions of the examples 12 to 23 were as small as 310 Å. On the other hand, the dishing amount and the erosion amount measured after polishing with the second polishing compositions of the comparison examples 4 and 5 were as large as greater than or equal to 600 Å. The dishing amount and the erosion amount were incapable of measurement in the comparison example 6. This is because the copper pattern wafer cannot be polished when the second polishing composition of the comparison example 6 is used.

EXAMPLES 24 TO 45 AND COMPARISON EXAMPLES 7 TO 10

[0102] Several main compositions were prepared by mixing colloidal silica the average particle size of which is 35 nm, &agr;-alanine, benzotriazole, and water. Several second sub-compositions were prepared by mixing acid, which is lactic acid, or alkali, which is potassium hydroxide, benzotriazole, and water. Several third polishing compositions were prepared by mixing the main composition, the second sub-composition, water, and 30% aqueous solution of hydrogen peroxide as required.

[0103] The copper blanket wafer, the tantalum blanket wafer, and the silicon dioxide blanket wafer were polished with each third polishing composition under the following polishing conditions (3) for one minute. The thickness of each blanket wafer was measured before and after polishing. The polishing speed was calculated from the difference between the thicknesses measured before and after polishing. The result is shown in Table 3.

[0104] The copper pattern wafer that is polished in advance was polished with each third polishing composition under the following polishing conditions (3). The dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 &mgr;m was measured using the profiler “HRP340.” The erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. The result is shown in Table 3. The copper pattern wafer that is polished in advance is the copper pattern wafer that is polished with any of the first polishing compositions of examples 1 to 5 and 8 till the thickness of the conductor layer becomes 2000 Å and further polished with any of the second polishing composition of examples 12 to 16 and 21 until all the portion of the conductor layer outside the trenches is removed.

[0105] Polishing Conditions (3)

[0106] Polishing Machine: “Mirra”

[0107] Polishing Pad: “IC-1000/Suba400”

[0108] Polishing Pressure: 2.5 psi

[0109] Polishing Time: Twice the time required for removing portion of the barrier layer outside the trenches.

[0110] Rotational Speed Of Surface Plate: 90 rpm

[0111] Supply Speed Of Third Polishing Composition: 200 ml/min.

[0112] Rotational Speed Of Carrier: 90 rpm 3 TABLE 3 Main Composition Second Sub- Main Composition: (g/L) Composition (g/L) Second Sub- Polishing Corrosion Corrosion Composition:Water Abrasive Accelerator Inhibitor Acid Alkali Inhibitor (Volume Ratio) Ex. 24 20 100 0.1 17 — 5.3 1:3:6 Ex. 25 150 100 0.1 25 — 8 1:3:11 Ex. 26 150 25 0.03 15 — 4.8 1:1:1 Ex. 27 200 100 0.1 33 — 10.6 1:3:16 Ex. 28 150 200 0.1 25 — 8 1:3:11 Ex. 29 150 100 0.1 10 — 8 1:3:11 Ex. 30 150 100 0.1 50 — 8 1:3:11 Ex. 31 150 100 0.1 25 — 2.49 1:3:11 Ex. 32 150 100 0.1 11 — 10.7 1:7:7 Ex. 33 150 100 0.1 25 — 8 1:3:11 Ex. 34 150 100 0.1 25 — 8 1:3:11 Ex. 35 20 100 0.1 — 17 5.3 1:3:6 Ex. 36 150 100 0.1 — 25 8 1:3:11 Ex. 37 150 25 0.03 — 15 4.8 1:1:1 Ex. 38 200 100 0.1 — 33 10.6 1:3:16 Ex. 39 150 200 0.1 — 25 8 1:3:11 Ex. 40 150 100 0.1 — 10 8 1:3:11 Ex. 41 150 100 0.1 — 50 8 1:3:11 Ex. 42 150 100 0.1 — 25 2.49 1:3:11 Ex. 43 150 100 0.1 — 11 10.7 1:7:7 Ex. 44 150 100 0.1 — 25 8 1:3:11 Ex. 45 150 100 0.1 — 25 8 1:3:11 C. Ex. 7 150 100 0.1 0 — 8 1:3:11 C. Ex. 8 150 100 0.1 — 0 8 1:3:11 C. Ex. 9 150 100 0 25 — 0 1:3:11 C. Ex. 10 150 100 0 — 25 0 1:3:11 Third Polishing Composition (g/L) Polishing Corrosion Hydrogen Abrasive Accelerator Acid Alkali Inhibitor Peroxide Ex. 24 2.0 10.0 5.1 — 1.60 0 Ex. 25 10.0 6.7 5.0 — 1.61 0 Ex. 26 50.0 8.3 5.0 — 1.61 0 Ex. 27 10.0 5.0 5.0 — 1.60 0 Ex. 28 10.0 13.3 5.0 — 1.61 0 Ex. 29 10.0 6.7 2.0 — 1.61 0 Ex. 30 10.0 6.7 10.0 — 1.61 0 Ex. 31 10.0 6.7 5.0 — 0.50 0 Ex. 32 10.0 6.7 5.1 — 5.00 0 Ex. 33 10.0 6.7 5.0 — 1.61 1 Ex. 34 10.0 6.7 5.0 — 1.61 10 Ex. 35 2.0 10.0 — 5.1 1.60 0 Ex. 36 10.0 6.7 — 5.0 1.61 0 Ex. 37 50.0 8.3 — 5.0 1.61 0 Ex. 38 10.0 5.0 — 5.0 1.60 0 Ex. 39 10.0 13.3 — 5.0 1.61 0 Ex. 40 10.0 6.7 — 2.0 1.61 0 Ex. 41 10.0 6.7 — 10.0 1.61 0 Ex. 42 10.0 6.7 — 5.0 0.50 0 Ex. 43 10.0 6.7 — 5.1 5.00 0 Ex. 44 10.0 6.7 — 5.0 1.61 1 Ex. 45 10.0 6.7 — 5.0 1.61 10 C. Ex. 7 10.0 6.7 0 — 1.61 0 C. Ex. 8 10.0 6.7 — 0 1.61 0 C. Ex. 9 10.0 6.7 5.0 — 0 0 C. Ex. 10 10.0 6.7 — 5.0 0 0 First Second Polishing Polishing Polishing Speed Composition Composition (Å/min.) Dishing Erosion Used In Used In Silicon Amount Amount Prepolishing Prepolishing Copper Tantalum Dioxide (Å) (Å) Ex. 24 Ex. 1 Ex. 12 30 710 20 140 260 Ex. 25 Ex. 2 Ex. 13 50 690 30 140 240 Ex. 26 Ex. 3 Ex. 14 80 690 100 150 300 Ex. 27 Ex. 4 Ex. 15 50 700 30 140 260 Ex. 28 Ex. 5 Ex. 16 50 710 30 140 250 Ex. 29 Ex. 2 Ex. 13 50 510 30 150 260 Ex. 30 Ex. 2 Ex. 13 50 710 30 150 260 Ex. 31 Ex. 2 Ex. 13 100 690 30 250 300 Ex. 32 Ex. 2 Ex. 13 20 700 30 160 190 Ex. 33 Ex. 2 Ex. 13 200 710 30 210 240 Ex. 34 Ex. 2 Ex. 13 300 690 30 310 290 Ex. 35 Ex. 1 Ex. 12 30 710 20 150 250 Ex. 36 Ex. 2 Ex. 13 50 700 30 160 240 Ex. 37 Ex. 3 Ex. 14 80 700 100 160 300 Ex. 38 Ex. 4 Ex. 15 50 700 30 140 250 Ex. 39 Ex. 5 Ex. 16 50 710 30 150 240 Ex. 40 Ex. 2 Ex. 13 50 510 30 150 250 Ex. 41 Ex. 2 Ex. 13 50 700 30 150 260 Ex. 42 Ex. 2 Ex. 13 100 690 30 250 300 Ex. 43 Ex. 2 Ex. 13 20 690 30 140 200 Ex. 44 Ex. 2 Ex. 13 200 690 30 190 260 Ex. 45 Ex. 2 Ex. 13 300 690 30 300 300 C. Ex. 7 Ex. 2 Ex. 13 50 2 30 — — C. Ex. 8 Ex. 2 Ex. 13 50 2 30 — — C. Ex. 9 Ex. 8 Ex. 21 990 700 30 820 880 C. Ex. 10 Ex. 8 Ex. 21 1000 690 30 800 870

[0113] As shown in Table 3, the polishing speeds when the third polishing compositions of the examples 24 to 45 were used were fine. In addition, the maximum dishing amount and the maximum erosion amount measured after polishing with the third polishing compositions of the examples 24 to 45 were as small as 310 Å. On the other hand, the dishing amount and the erosion amount were incapable of measurement in the comparison examples 7 and 8. This is because the copper pattern wafer cannot be polished when the third polishing compositions of the comparison example 7 and 8 are used. The dishing amount and the erosion amount measured after polishing with the third polishing compositions of comparison examples 9 and 10 were as large as greater than or equal to 800 Å.

COMPARISON EXAMPLE 11

[0114] The copper pattern wafer was polished with the first polishing composition of the example 2 under the following polishing conditions (4). The dishing amount at a portion of the copper pattern wafer that corresponds to the trench the width of which is 10 &mgr;m was measured using the profiler “HRP340.” The erosion amount was measured at the 90% high density wiring area of the copper pattern wafer. As a result, the dishing amount and the erosion amount were as large as 650Å.

[0115] Polishing Conditions (4)

[0116] Polishing Machine: “Mirra”

[0117] Polishing Pad: “IC-1400”

[0118] Polishing Pressure: 2 psi

[0119] Polishing Time: Time required for removing portion of the conductor layer outside the trenches and half that time.

[0120] Rotational Speed Of Surface Plate: 90 rpm

[0121] Supply Speed Of First Polishing Composition: 200 ml/min.

[0122] Rotational Speed Of Carrier: 90 rpm

[0123] The present examples and embodiments are to be considered as illustrative and not restrictive and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalence of the appended claims.

Claims

1. A method for polishing a polishing target, wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer, wherein the insulation layer has a surface, which includes a trench, wherein the barrier layer is located on the insulation layer, wherein the conductor layer is made of metal that includes copper and is located on the barrier layer, and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:

polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and &agr;-alanine;
polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.

2. A method for polishing a polishing target, wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:

polishing the polishing target with a first polishing composition to remove part of the outer portion of the conductor layer;
polishing the polishing target with a second polishing composition to remove a remaining part of the outside portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and &agr;-alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1),
8
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10, wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20, and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer.

3. The method according to claim 2, wherein the first polishing composition includes abrasive, which includes at least one of silicon dioxide and aluminum oxide; a polishing accelerator, which includes at least one of glycine and &agr;-alanine; hydrogen peroxide; and water.

4. The method according to claim 2, wherein the third polishing composition includes abrasive, which includes at least one of silicon dioxide and aluminum oxide; acid or alkali, a corrosion inhibitor, which includes at least one of benzotriazole and a derivative of benzotriazole, and water, wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid, and wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide.

5. A method for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:

polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer;
polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

6. The method according to claim 5, wherein the first polishing composition includes abrasive, which includes at least one of silicon dioxide and aluminum oxide; a polishing. accelerator; hydrogen peroxide; and water; and wherein the polishing accelerator includes at least one of glycine and &agr;-alanine.

7. A method for polishing a polishing target, wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench, the method comprising:

polishing the polishing target using a first polishing composition to remove part of the outer portion of the conductor layer; wherein the first polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water;
polishing the polishing target using a second polishing composition to remove a remaining part of the outer portion of the conductor layer; wherein the second polishing composition includes abrasive, a polishing accelerator, an organic compound, a corrosion inhibitor, hydrogen peroxide, and water; and
polishing the polishing target using a third polishing composition to remove the outer portion of the barrier layer; wherein the third polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water;
wherein each abrasive includes at least one of silicon dioxide and aluminum oxide; wherein each polishing accelerator includes at least one of glycine and &agr;-alanine; wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1), and
9
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10; wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group, wherein each of m and n represents any of integer numbers 1 to 20; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein each corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

8. The method according to claim 7, wherein the third polishing composition further includes a polishing accelerator, which includes at least one of glycine and &agr;-alanine.

9. The method according to claim 8, wherein a main composition, a first sub-composition, and a second sub-composition are prepared before polishing the polishing target,

wherein the main composition includes abrasive, a polishing accelerator, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes glycine and &agr;-alanine; wherein the first sub-composition includes an organic compound, a first corrosion inhibitor, and water; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1),
10
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10; wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group; wherein each of m and n represents any of integer numbers 1 to 20; wherein the first corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; wherein the second sub-composition includes acid or alkali, a second corrosion inhibitor, and water; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the second corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole; and
wherein the first polishing composition is prepared by mixing the main composition and hydrogen peroxide when using the first polishing composition; wherein the second polishing composition is prepared by mixing the main composition, the first sub-composition, and hydrogen peroxide when using the second polishing composition; and wherein the third polishing composition is prepared by mixing the main composition and the second sub-composition when using the third polishing composition.

10. The method according to claim 7, wherein the third polishing composition further includes hydrogen peroxide.

11. A polishing composition used for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench;

wherein the polishing composition is used to polish the polishing target to remove part of the outer portion of the conductor layer; wherein the polishing composition includes abrasive, a polishing accelerator, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; and wherein the polishing accelerator includes at least one of glycine and &agr;-alanine.

12. The polishing composition according to claim 11, wherein the polishing composition is prepared by mixing a mixture of the abrasive, the polishing accelerator, and the water with the hydrogen peroxide when using the polishing composition.

13. The polishing composition according to claim 11, wherein the polishing composition is prepared by mixing a mixture of the abrasive, the polishing accelerator, and part of the water with the hydrogen peroxide when using the polishing composition; and further diluting the polishing composition with remaining water.

14. A polishing composition used for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench,

wherein the polishing composition is used to polish the polishing target from which part of the outer portion of the conductor layer is removed by prepolishing to remove a remaining part of the outer portion of the conductor layer; wherein the polishing composition includes abrasive, a polishing accelerator, a organic compound, a corrosion inhibitor, hydrogen peroxide, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the polishing accelerator includes at least one of glycine and &agr;-alanine; and wherein the organic compound includes at least one compound selected from the group consisting of polyethylene oxide, polypropylene oxide, polyoxyethylene alkyl ether, polyoxypropylene alkyl ether, polyoxyethylene polyoxypropylene alkyl ether, and an addition polymer of polyoxyalkylene represented by the following chemical formula (1), and
11
wherein each of R1 to R6 represents a hydrogen atom or an alkyl group the carbon number of which is any of integer numbers 1 to 10; wherein each of X and Y represents an ethyleneoxy group or a propyleneoxy group; wherein each of m and n represents any of integer numbers 1 to 20; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

15. The polishing composition according to claim 14, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the organic compound, the corrosion inhibitor, and a remaining part of the water; and the hydrogen peroxide when using the polishing composition.

16. The polishing composition according to claim 14, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the organic compound, the corrosion inhibitor, and another part of the water; and the hydrogen peroxide when using the polishing composition; and further diluting the polishing composition with a remaining part of the water.

17. A polishing composition used for polishing a polishing target; wherein the polishing target has an insulation layer, a barrier layer, and a conductor layer; wherein the insulation layer has a surface, which includes a trench; wherein the barrier layer is located on the insulation layer; wherein the conductor layer is made of metal that includes copper and is located on the barrier layer; and wherein each of the barrier layer and the conductor layer has an inner portion located inside the trench and an outer portion located outside the trench,

wherein the polishing composition is used to polish the polishing target from which the outer portion of the conductor layer is removed by prepolishing to remove the outer portion of the barrier layer; wherein the polishing composition includes abrasive, acid or alkali, a corrosion inhibitor, and water; wherein the abrasive includes at least one of silicon dioxide and aluminum oxide; wherein the acid includes at least one acid selected from the group consisting of nitric acid, hydrochloric acid, lactic acid, phosphoric acid, sulfuric acid, acetic acid, oxalic acid, citric acid, tartaric acid, malonic acid, succinic acid, maleic acid, and fumaric acid; wherein the alkali includes at least one alkali selected from the group consisting of potassium hydroxide, ammonium hydroxide, and sodium hydroxide; and wherein the corrosion inhibitor includes at least one of benzotriazole and a derivative of benzotriazole.

18. The polishing composition according to claim 17, further comprising a polishing accelerator, wherein the polishing accelerator includes at least one of glycine and &agr;-alanine.

19. The polishing composition according to claim 18, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; and a second mixture, which includes the acid or alkali, the corrosion inhibitor, and a remaining part of the water when using the polishing composition.

20. The polishing composition according to claim 18, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; and a second mixture, which includes the acid or alkali, the corrosion inhibitor, and another part of the water when using the polishing composition; and further diluting the polishing composition with a remaining part of the water.

21. The polishing composition according to claim 17, further comprising hydrogen peroxide.

22. The polishing composition according to claim 18, further comprising hydrogen peroxide.

23. The polishing composition according to claim 22, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the acid or alkali, the corrosion inhibitor, and a remaining part of the water; and hydrogen peroxide when using the polishing composition.

24. The polishing composition according to claim 22, wherein the polishing composition is prepared by mixing a first mixture, which includes the abrasive, the polishing accelerator, and part of the water; a second mixture, which includes the acid or alkali, the corrosion inhibitor, and another part of the water; and hydrogen peroxide when using the polishing composition; and further diluting the polishing composition with a remaining part of the water.

Patent History
Publication number: 20040084414
Type: Application
Filed: Aug 18, 2003
Publication Date: May 6, 2004
Inventors: Kenji Sakai (Aichi), Kazusei Tamai (Aichi), Atsunori Kawamura (Aichi), Tsuyoshi Matsuda (Aichi), Tatsuhiko Hirano (Aichi), Katsuyoshi Ina (Aichi)
Application Number: 10642929
Classifications