Using Film Of Etchant Between A Stationary Surface And A Moving Surface (e.g., Chemical Lapping, Etc.) Patents (Class 216/88)
  • Patent number: 11773353
    Abstract: A semiconductor cleaning solution for cleaning a surface of a semiconductor device, and a method of use and a method of manufacture of the cleaning solution are disclosed. In an embodiment, a material is polished away from a first surface of the semiconductor device and the first surface is cleaned with the cleaning solution. The cleaning solution may include a host having at least one ring. The host may have a hydrophilic exterior and a hydrophobic interior.
    Type: Grant
    Filed: February 10, 2021
    Date of Patent: October 3, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Pinlei Edmund Chu, Chun-Wei Hsu, Ling-Fu Nieh, Chi-Jen Liu, Liang-Guang Chen, Yi-Sheng Lin
  • Patent number: 11254839
    Abstract: The present invention discloses STI CMP polishing compositions, methods and systems that significantly reduce oxide trench dishing and improve over-polishing window stability in addition to provide high and tunable silicon oxide removal rates, low silicon nitride removal rates, and tunable high selectivity of SiO2:SiN through the use of an unique combination of ceria inorganic oxide particles, such as ceria coated silica particles as abrasives, and an oxide trench dishing reducing additive of poly(methacrylic acids), its derivatives, its salts, or combinations thereof.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: February 22, 2022
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Xiaobo Shi, Joseph D. Rose, Hongjun Zhou, Krishna P. Murella, Mark Leonard O'Neill
  • Patent number: 11198797
    Abstract: A chemical mechanical polishing composition for polishing dielectric substrates includes colloidal silica abrasive particles stabilized with polyalkoxylated organosilanes.
    Type: Grant
    Filed: January 22, 2020
    Date of Patent: December 14, 2021
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Patent number: 11180678
    Abstract: Present invention provides Chemical Mechanical Planarization Polishing (CMP) compositions for Shallow Trench Isolation (STI) applications. The CMP compositions contain ceria coated inorganic oxide particles as abrasives, such as ceria-coated silica particles; chemical additive selected from the group consisting of an organic acetylene molecule containing an acetylene bond and at least two or multi ethoxylate functional groups with terminal hydroxyl groups, an organic molecule with at least two or multi hydroxyl functional groups in the same molecule, and combinations thereof; water soluble solvent; and optionally biocide and pH adjuster; wherein the composition has a pH of 2 to 12, preferably 3 to 10, and more preferably 4 to 9.
    Type: Grant
    Filed: October 27, 2019
    Date of Patent: November 23, 2021
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Xiaobo Shi, Krishna P. Murella, Joseph D. Rose, Hongjun Zhou, Mark Leonard O'Neill
  • Patent number: 11111414
    Abstract: A polishing composition having a pH of less than 7, comprising an abrasive grain and an amide compound, wherein the amide compound has a group forming a ? conjugated system with a carbonyl group.
    Type: Grant
    Filed: September 4, 2019
    Date of Patent: September 7, 2021
    Assignee: FUJIMI INCORPORATED
    Inventor: Yusuke Kadohashi
  • Patent number: 11028288
    Abstract: The present invention relates to a suspension of cerium oxide particles in a liquid phase, in which said particles comprise secondary particles comprising primary particles, and a process for preparing said liquid suspension in which the cerium IV/total cerium molar ratio before precipitation is comprised between 1/10000 and 1/500000 and that the thermal treatment is being carried out under an inert atmosphere.
    Type: Grant
    Filed: May 28, 2019
    Date of Patent: June 8, 2021
    Assignee: RHODIA OPERATIONS
    Inventors: Eisaku Suda, Manabu Yuasa, Takao Sekimoto
  • Patent number: 10934457
    Abstract: Slurry compositions useable in chemical mechanical polishing processes, as well as methods of making and methods of using the same, are described.
    Type: Grant
    Filed: May 21, 2019
    Date of Patent: March 2, 2021
    Assignee: The University of Toledo
    Inventors: Matthew Liberatore, Ehsan Akbari Fakhrabadi
  • Patent number: 10882755
    Abstract: Mesoporous, zirconium-based mixed oxides and a method of making the same comprises: injecting a polyvalent metal-containing solution into an electrolyte solution to form a mother liquor; forming a precipitate; aging the precipitate in the mother liquor to form the mixed oxides; washing the mixed oxides with an aqueous medium; drying and collecting the mixed oxides. The pH of the electrolyte solution exceeds the isoelectric point for zirconium-based mixed oxides. The mixed oxides exhibit a single particle size distribution, improved Ce02 reducibility in the presence of Rhodium, a decrease in surface area after calcination (800-1100° C.) that is not more than 55%, and a tetragonal/cubic structure after calcination. After calcination at 1100° C. for 10 hours in air, the mixed oxides exhibit a surface area >25 m2/g, a pore volume >0.20 cm3/g, an average pore size >30 nm, and an average crystallite size between 8-15 nm.
    Type: Grant
    Filed: March 30, 2017
    Date of Patent: January 5, 2021
    Assignee: Pacific Industrial Development Corporation
    Inventors: Anatoly Bortun, David Shepard, Yunkui Li, Wei Wu, Jeffery Lachapelle
  • Patent number: 10858615
    Abstract: A surface treatment composition according to the present invention is used for treating a surface of a polished object to be polished which is obtained after polishing with a polishing composition including ceria, using the surface treatment composition including a (co)polymer having a monomer-derived structural unit having a carboxyl group or a salt group thereof, a residue removing accelerator composed of a specific compound having a hydroxyl group, and a dispersing medium, wherein pH is less than 7.
    Type: Grant
    Filed: September 17, 2018
    Date of Patent: December 8, 2020
    Assignee: FUJIMI INCORPORATED
    Inventors: Yukinobu Yoshizaki, Koichi Sakabe, Satoru Yarita, Kenichi Komoto
  • Patent number: 10853814
    Abstract: Systems and methods are provided for monitoring attendance of people at organizer events, via payment networks, based on authorization messages generated in connection with such attendance. One exemplary method includes identifying an authorization message as an attendance message based on a program code included in the authorization message, where the authorization message further includes an organizer identifier indicative of an organizer associated with a location of the attendance. The method also includes identifying a person associated with the attendance based on the authorization message, and appending an attendance entry to an attendance profile associated with the person, based on the authorization message, whereby an incentive associated with the attendance of the person at the organizer is able to be allocated.
    Type: Grant
    Filed: April 3, 2017
    Date of Patent: December 1, 2020
    Assignee: MASTERCARD INTERNATIONAL INCORPORATED
    Inventors: Cesar Espinoza, Michelle Rubio, Matias Sevi, Oscar A. Jimenez
  • Patent number: 10844259
    Abstract: Disclosed is a silica-based composite fine particle dispersion including a silica-based composite fine particle which comprises a mother particle containing amorphous silica as a main component with a child particle containing crystalline ceria as a main component on a surface thereof. Features of the silica-based composite fine particle include a silica to ceria mass ratio of 100:11 to 316, and when subjected to X-ray diffraction, only the crystalline phase of ceria is detected, and when subjected to X-ray diffraction for measurement, the crystalline ceria has a crystallite diameter of 10 to 25 nm.
    Type: Grant
    Filed: April 5, 2017
    Date of Patent: November 24, 2020
    Assignee: JGC Catalysts and Chemicals Ltd.
    Inventors: Yuji Tawarazako, Michio Komatsu, Kazuhiro Nakayama, Yukihiro Iwasaki, Yoshinori Wakamiya, Shota Kawakami, Shinya Usuda
  • Patent number: 10691169
    Abstract: A cover for an electronic device and methods of forming a cover is disclosed. The electronic device may include a housing, and a cover coupled to the housing. The cover may have an inner surface having at least one of an intermediate polish and a final polish, a groove formed on the inner surface, and an outer surface positioned opposite the inner surface. The outer surface may have at least one of the intermediate polish and the final polish. The cover may also have a rounded perimeter portion formed between the inner surface and the outer surface. The rounded perimeter portion may be positioned adjacent the groove. The method for forming the cover may include performing a first polishing process on the sapphire component using a polishing tool, and performing a second polishing process on the groove of the sapphire component forming the cover using blasting media.
    Type: Grant
    Filed: May 9, 2018
    Date of Patent: June 23, 2020
    Assignee: APPLE INC.
    Inventors: Jeffrey C. Mylvaganam, Erik G. de Jong, Dale N. Memering, Xiao Bing Cai, Palaniappan Chinnakaruppan, Jong Kong Lee, Srikanth Kamireddi, Sawako Kamei, Feng Min, Jing Zhang, Xiang Du, Sai Feng Liu
  • Patent number: 10607853
    Abstract: The present invention relates to a CMP slurry composition for polishing a copper line, the CMP slurry composition comprising a colloidal silica, an oxidizing agent, a complexing agent, a corrosion inhibitor, a pH regulator, and ultrapure water. The colloidal silica has a specific surface area (BET) of 72.9 to 88.5 m2/g, and 0.1 to 2 wt % of the colloidal silica is included in the CMP slurry composition. The CMP slurry composition has an excellent copper line polishing rate, has a low number of defects and minimizes scratches after polishing, and can minimize dishing.
    Type: Grant
    Filed: October 12, 2015
    Date of Patent: March 31, 2020
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Jong Il Noh, Dong Hun Kang, Jeong Hwan Jeong, Young Nam Choi
  • Patent number: 10543579
    Abstract: Some embodiments include an apparatus having a polishing mechanism configured to polish a surface of a wafer. The polishing mechanism converts fresh slurry to used slurry during a polishing process. At least one emitter is configured to direct electromagnetic radiation onto or through the used slurry. At least one detector is configured to detect transmittance of the electromagnetic radiation through the used slurry or reflection of the electromagnetic radiation from the used slurry. An identification system is coupled with the at least one detector and is configured to identify a property of the used slurry indicating that an endpoint of the polishing process has been reached. Control circuitry is coupled with the identification system and is configured to stop the polishing process based on receiving a trigger from the identification system. Some embodiments include polishing methods.
    Type: Grant
    Filed: April 4, 2019
    Date of Patent: January 28, 2020
    Assignee: Micron Technology, Inc.
    Inventors: Jian Zhou, Hongqi Li, James A. Cultra
  • Patent number: 10307883
    Abstract: PROBLEM: To provide a finishing method for a painted surface that can reduce the number of processing steps, and that can shorten the finish polishing time and reduce the polishing area. RESOLUTION MEANS: The painted surface finishing method of an embodiment of the present disclosure includes a step of removing irregularities in a painted surface using a polishing material including a polishing layer with a structural surface where a plurality of three-dimensional elements are arranged, and providing a surface suitable for finish polishing; and a step of finish polishing the surface; wherein the polishing layer contains abrasive diamond particles with an average particle diameter of 0.5 to 5 ?m, and a binder containing an epoxy resin.
    Type: Grant
    Filed: May 27, 2015
    Date of Patent: June 4, 2019
    Assignee: 3M INNOVATIVE PROPERTIES COMPANY
    Inventors: Yoko Nakamura, Norikazu Maeda, Toru Aoki
  • Patent number: 10286517
    Abstract: Some embodiments include an apparatus having a polishing mechanism configured to polish a surface of a wafer. The polishing mechanism converts fresh slurry to used slurry during a polishing process. At least one emitter is configured to direct electromagnetic radiation onto or through the used slurry. At least one detector is configured to detect transmittance of the electromagnetic radiation through the used slurry or reflection of the electromagnetic radiation from the used slurry. An identification system is coupled with the at least one detector and is configured to identify a property of the used slurry indicating that an endpoint of the polishing process has been reached. Control circuitry is coupled with the identification system and is configured to stop the polishing process based on receiving a trigger from the identification system. Some embodiments include polishing methods.
    Type: Grant
    Filed: August 8, 2017
    Date of Patent: May 14, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Jian Zhou, Hongqi Li, James A. Cultra
  • Patent number: 10280107
    Abstract: The present invention relates to a method for separating solid-body slices (1) from a donor substrate (2). The method comprises the steps of: producing modifications (10) within the donor substrate (2) by means of laser beams (12), wherein a detachment region is predefined by the modifications (10), along which detachment region the solid-body layer (1) is separated from the donor substrate (2), and removing material from the donor substrate (2), starting from a surface (4) extending in the peripheral direction of the donor substrate (2), in the direction of the center (Z) of the donor substrate (2), in particular in order to produce a peripheral indentation (6).
    Type: Grant
    Filed: June 23, 2016
    Date of Patent: May 7, 2019
    Assignee: Siltectra, Gmbh
    Inventors: Marko Swoboda, Christian Beyer, Franz Schilling, Jan Richter
  • Patent number: 10269579
    Abstract: A method of manufacturing a semiconductor device includes providing a substrate including a silicon oxide layer and a metal oxide layer covering the silicon oxide layer. A CMP slurry is prepared. The CMP slurry includes plural abrasive particles bearing negative charges, a Lewis base including a (XaYb)? group, and a buffer solution. The X represents a IIIA group element or an early transitional metal, and Y represents a pnictogen element, a chalcogen element or a halogen element. The CMP slurry has a pH in a range substantially from 2 to 7. Next, a planarization operation is performed on a surface of the metal oxide layer until a surface of the silicon oxide layer exposed. The planarization operation has a high polishing selectivity of the metal oxide layer with respect to the silicon oxide layer.
    Type: Grant
    Filed: February 27, 2018
    Date of Patent: April 23, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shen-Nan Lee, Teng-Chun Tsai, Chung-Wei Hsu, Chen-Hao Wu, Tsung-Ling Tsai
  • Patent number: 10266725
    Abstract: A method for preparing a recycled abrasive slurry includes: polishing a material to be polished, which includes silicon as a main component, with an abrasive slurry including a plurality of kinds of additives added for different purposes; and preparing a recycled abrasive slurry from a collected abrasive slurry collected after the polishing, wherein the recycled abrasive slurry is prepared through: step 1 of removing, from the collected abrasive slurry, at least an additive B capable of decreasing a polishing rate among the plurality of additives, and step 2 of replenishing at least an additive A capable of increasing a polishing rate among the plurality of additives, to the abrasive slurry from which an additive has been removed, which has been prepared in step 1.
    Type: Grant
    Filed: June 22, 2016
    Date of Patent: April 23, 2019
    Assignee: KONICA MINOLTA, INC.
    Inventors: Chie Inui, Akihiro Maezawa, Yuuki Nagai, Natsumi Hirayama
  • Patent number: 10160884
    Abstract: Metal compound chemically anchored colloidal particles wherein the metal compound is in molecular form are disclosed. A facile and fast process to chemically anchor metal compounds uniformly onto colloidal particle surfaces via chemical bonding has been developed. Metal compounds are chemically anchored to the surface of colloidal particles via an organic linking agent. Uniformly distributed metal compounds remain in molecular form after the process. The metal compound chemically anchored colloidal particles can be used as solid catalyst in metal chemical-mechanical planarization process.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: December 25, 2018
    Assignee: Versum Materials US, LLC
    Inventors: Hongjun Zhou, Xiaobo Shi, Jo-Ann Theresa Schwartz
  • Patent number: 10124462
    Abstract: A polishing apparatus capable of measuring a film thickness of a wafer using a plurality of optical sensors, without using an optical-path switching device for optical fibers, is disclosed. The polishing apparatus includes: an illuminating fiber having a plurality of distal ends arranged at different locations in a polishing table; a spectrometer configured to break up reflected light from a wafer in accordance with wavelength and measure an intensity of the reflected light at each of wavelengths; a light-receiving fiber having a plurality of distal ends arranged at the different locations in the polishing table; and a processor configured to generate a spectral waveform indicating a relationship between the intensity and wavelength of the reflected light and determine a film thickness based on the spectral waveform.
    Type: Grant
    Filed: June 1, 2016
    Date of Patent: November 13, 2018
    Assignee: EBARA CORPORATION
    Inventor: Toshifumi Kimba
  • Patent number: 10083861
    Abstract: A method for filling gaps between structures includes forming a plurality of high aspect ratio structures adjacent to one another with gaps, forming a first dielectric layer on tops of the structures and conformally depositing a spacer dielectric layer over the structures. The spacer dielectric layer is removed from horizontal surfaces and a protection layer is conformally deposited over the structures. The gaps are filled with a flowable dielectric, which is recessed to a height along sidewalls of the structures by a selective etch process such that the protection layer protects the spacer dielectric layer on sidewalls of the structures. The first dielectric layer and the spacer dielectric layer are exposed above the height using a higher etch resistance than the protection layer to maintain dimensions of the spacer layer dielectric through the etching processes. The gaps are filled by a high density plasma fill.
    Type: Grant
    Filed: June 16, 2017
    Date of Patent: September 25, 2018
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES INC.
    Inventors: Huiming Bu, Andrew M. Greene, Balasubramanian Pranatharthiharan, Ruilong Xie
  • Patent number: 10077382
    Abstract: The invention is a method for chemical mechanical polishing a semiconductor substrate having cobalt or cobalt alloy containing features containing Co0. The method mixes 0.1 to 2 wt % hydrogen peroxide oxidizing agent (?) into a slurry containing 0.5 to 3 wt % colloidal silica particles (?), the colloidal silica particles containing primary particles, 0.5 to 2 wt % complexing agent (?) selected from at least one of L-aspartic acid, nitrilotriacetic acid, nitrilotri(methylphosphonic acid), ethylenediamine-N,N?-disuccinic acid trisodium salt, and ethylene glycol-bis (2aminoethylether)-N,N,N?,N?-tetraacetic acid, and balance water having a pH of 5 to 9 to create a polishing slurry for the semiconductor substrate. Oxidizing at least a surface portion of the Co0 to Co+3 of the semiconductor substrate to prevent runaway dissolution of the Co0 reduces polishing defects in the semiconductor substrate.
    Type: Grant
    Filed: March 6, 2017
    Date of Patent: September 18, 2018
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Hongyu Wang, Murali G. Theivanayagam
  • Patent number: 10065285
    Abstract: A substrate is prepared by polishing a surface of the substrate using a polishing pad while feeding a slurry. The polishing pad has a porous nap layer which comes in contact with the substrate surface and is made of a base resin comprising at least three resins, typically an ether resin, ester resin, and polycarbonate resin. The polished substrate has a highly flat surface with a minimal number of defects.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: September 4, 2018
    Assignee: SHIN-ETSU CHEMICAL CO., LTD.
    Inventors: Harunobu Matsui, Daijitsu Harada, Atsushi Watabe, Shuhei Ueda, Masaki Takeuchi
  • Patent number: 10062574
    Abstract: A wafer polishing apparatus capable of maintaining a drive ring in a flat state and a wafer polishing method are provided. In the wafer polishing apparatus and method according to an embodiment, when the head assembly moves to the initial descending position by the wafer elevation unit, the shape of the drive ring inside the head assembly may be measured by using the sensor, and thus the polishing process may be performed in the state where the descending position of the head assembly is automatically adjusted by using the head auxiliary elevation unit to maintain the drive ring in the flat state. Therefore, since the wafer polishing process is performed in the state the balance of the wafer mounting part is automatically adjusted by using the drive ring, the polishing quality of the wafer may be uniformly maintained, and also the polishing performance may be improved.
    Type: Grant
    Filed: August 25, 2015
    Date of Patent: August 28, 2018
    Assignee: SK SILTRON CO., LTD.
    Inventors: Jin-Woo Ahn, Kee-Yun Han
  • Patent number: 10023797
    Abstract: Provided is a liquid etching composition that etches an oxide comprising indium, zinc, tin and oxygen at a preferable etching rate, without the etching rate being changed much along with the dissolution of the oxide, and with no substantial generation of deposit, and corrodes wiring materials at such a low level to be ignorable. The present invention uses a liquid etching composition comprising (A) at least one selected from the group consisting of sulfuric acid, methanesulfonic acid, and a salt of any of these acids; and water; the liquid etching composition having a pH value of ?1 to 3.
    Type: Grant
    Filed: January 21, 2015
    Date of Patent: July 17, 2018
    Assignee: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Mari Shigeta, Kunio Yube
  • Patent number: 9984895
    Abstract: A process for chemical mechanical polishing a substrate containing tungsten is disclosed to reduce corrosion rate and inhibit dishing of the tungsten and erosion of underlying dielectrics. The process includes providing a substrate; providing a polishing composition, containing, as initial components: water; an oxidizing agent; a dihydroxy bis-sulfide; a dicarboxylic acid, a source of iron ions; a colloidal silica abrasive; and, optionally a pH adjusting agent; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein some of the tungsten (W) is polished away from the substrate, corrosion rate is reduced, dishing of the tungsten (W) is inhibited as well as erosion of dielectrics underlying the tungsten (W).
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: May 29, 2018
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Lin-Chen Ho, Wei-Wen Tsai, Cheng-Ping Lee
  • Patent number: 9862071
    Abstract: The present invention relates to a method for manufacturing a polishing pad. The method of the invention includes the steps of forming a polishing layer from a polyurethane solution has a solid content more than about 90 wt % and drying the polyurethane solution at a temperature from about 130° C. to about 170° C. The invention also provides a polishing pad manufactured by the method mentioned above. The defect of scraping the surface of the substrate to be polished due to polishing particles remaining is avoided when applying the polishing pad according to the invention, and the flatness of the substrate to be polished is raised and the defective rate is eliminated also.
    Type: Grant
    Filed: September 21, 2010
    Date of Patent: January 9, 2018
    Assignee: SAN FANG CHEMICAL INDUSTRY CO., LTD.
    Inventors: Chung-Chih Feng, I-Peng Yao, Yung-Chang Hung, Lyang-Gung Wang
  • Patent number: 9834705
    Abstract: Provided are a slurry for polishing tungsten and a method of polishing a substrate. The slurry according to an exemplary embodiment includes an abrasive configured to perform polishing and include particles having a positive zeta potential, a dispersant configure to disperse the abrasive, an oxidizer configured to oxidize a surface of the tungsten, a catalyst configured to promote oxidation of the tungsten, and a selectivity control agent configured to control a polishing selectivity and include an organic acid containing a carboxyl group. According to the slurry of the exemplary embodiment, a polishing selectivity between the tungsten and the insulation layer may be improved by suppressing a polishing rate of the insulation layer.
    Type: Grant
    Filed: February 18, 2016
    Date of Patent: December 5, 2017
    Assignee: UBMATERIALS INC.
    Inventor: Jin Hyung Park
  • Patent number: 9685406
    Abstract: A method for manufacturing a semiconductor device includes forming a dielectric layer on a substrate, forming a plurality of openings in the dielectric layer, conformally depositing a barrier layer on the dielectric layer and on sides and a bottom of each one of the plurality of openings, depositing a contact layer on the barrier layer in each one of the plurality of openings, removing a portion of each contact layer from each one of the plurality of openings, and removing a portion of the barrier layer from each one of the plurality of openings, wherein at least the removal of the portion of the barrier layer is performed using an etchant including: (a) a compound selected from group consisting of -azole, -triazole, and combinations thereof; (b) a compound containing one or more peroxy groups; (c) one or more alkaline metal hydroxides; and (d) water.
    Type: Grant
    Filed: April 18, 2016
    Date of Patent: June 20, 2017
    Assignee: International Business Machines Corporation
    Inventors: Benjamin D. Briggs, Elbert E. Huang, Raghuveer R. Patlolla, Cornelius B. Peethala, David L. Rath, Hosadurga Shobha
  • Patent number: 9633863
    Abstract: The present invention provides an acidic aqueous polishing composition suitable for polishing a silicon nitride-containing substrate in a chemical-mechanical polishing (CMP) process. The composition, at point of use, preferably comprises about 0.01 to about 2 percent by weight of at least one particulate ceria abrasive, about 10 to about 1000 ppm of at least one non-polymeric unsaturated nitrogen heterocycle compound, 0 to about 1000 ppm of at least one cationic polymer, optionally, 0 to about 2000 ppm of at least one polyoxyalkylene polymer, and an aqueous carrier therefor. The cationic polymer preferably is selected from a poly(vinylpyridine) polymer, a quaternary ammonium-substituted acrylate polymer, a quaternary ammonium-substituted methacrylate polymer, or a combination thereof. Methods of polishing substrates and of selectively removing silicon nitride from a substrate in preference to removal of polysilicon using the compositions are also provided.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: April 25, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventor: William Ward
  • Patent number: 9627219
    Abstract: Methods of forming a semiconductor device are presented. The method includes providing a wafer with top and bottom wafer surfaces. The wafer includes edge and non-edge regions. A dielectric layer having a desired concave top surface is provided on the top wafer surface. The method includes planarizing the dielectric layer to form a planar top surface of the dielectric layer. The desired concave top surface of the dielectric layer thicknesses compensates for different planarizing rates at the edge and non-edge regions of the wafer.
    Type: Grant
    Filed: April 16, 2014
    Date of Patent: April 18, 2017
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Lei Wang, Xuesong Rao, Wei Lu, Alex See
  • Patent number: 9425037
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising silica, one or more tetraalkylammonium salts, one or more bicarbonate salts, one or more alkali metal hydroxides, one or more aminophosphonic acids, one or more rate accelerator compounds, one or more polysaccharides, and water. The polishing composition reduces surface roughness and PSD of polished substrates. The invention further relates to a method of chemically-mechanically polishing a substrate, especially a silicon substrate, using the polishing composition described herein.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: August 23, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Brian Reiss, John Clark, Lamon Jones, Michael White
  • Patent number: 9416297
    Abstract: The present disclosure relates to a chemical mechanical polishing (CMP) slurry composition that provides for a high metal to dielectric material selectivity along with a low rate of metal recess formation. In some embodiments, the disclosed slurry composition has an oxidant and an etching inhibitor. The oxidant has a compound with one or more oxygen molecules. The etching inhibitor has a nitrogen-oxide compound. The etching inhibitor reduces the rate of metal and dielectric material (e.g., oxide) removal, but does so in a manner that reduces the rate of dielectric material removal by a larger amount, so as to provide the slurry composition with a high metal (e.g., germanium) to dielectric material removal selectivity and with a low rate of metal recess formation.
    Type: Grant
    Filed: November 13, 2013
    Date of Patent: August 16, 2016
    Assignees: Taiwan Semiconductor Manufacturing Co., Ltd., UWiZ Technology Co., Ltd.
    Inventors: Chia-Jung Hsu, Yun-Lung Ho, Neng-Kuo Chen, Wen-Feng Chueh, Sey-Ping Sun, Song-Yuan Chang
  • Patent number: 9346977
    Abstract: The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, and a specific glycerin compound.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: May 24, 2016
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Hisataka Minami, Toshiaki Akutsu, Tomohiro Iwano, Koji Fujisaki
  • Patent number: 9340707
    Abstract: Provided is a polishing composition used for polishing a semiconductor substrate having a through-silicon via structure, comprising an oxidizing agent having a standard electrode potential of 350 mV or more and 740 mV or less, a silicon polishing accelerating agent, a through-silicon via material polishing speed increasing agent, a silicon contamination preventing agent, and water.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: May 17, 2016
    Assignee: FUJIMI INCORPORATED
    Inventor: Toshio Shinoda
  • Patent number: 9303191
    Abstract: The polishing composition of the present invention is a polishing composition for polishing a tungsten-containing metal layer formed on an insulating layer, the polishing composition comprising: abrasive grains; one or more halogen acids selected from the group consisting of iodic acid, iodous acid, and hypoiodous acid; a strong acid; a hydrogen-ion-supplying agent; and water.
    Type: Grant
    Filed: March 28, 2013
    Date of Patent: April 5, 2016
    Assignee: NITTA HAAS INCORPORATED
    Inventors: Koichiro Hosokawa, Yoshiharu Ota, Shoichiro Yoshida
  • Patent number: 9303187
    Abstract: The present invention provides a chemical mechanical polishing method for polishing a substrate comprising silicon dioxide, silicon nitride, and polysilicon. The method comprises abrading a surface of the substrate with a CMP composition to remove at least some silicon dioxide, silicon nitride and polysilicon therefrom. The CMP composition comprising a particulate ceria abrasive suspended in an aqueous carrier having a pH of about 3 to 9.5 and containing a cationic polymer; wherein the cationic polymer consists of a quaternary methacryloyloxyalkylammonium polymer.
    Type: Grant
    Filed: July 22, 2013
    Date of Patent: April 5, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Dimitry Dinega, Kevin Moeggenborg, William Ward, Daniel Mateja
  • Patent number: 9299585
    Abstract: A method for chemical mechanical polishing of a substrate comprising ruthenium and copper is provided wherein the substrate is contacted with a polishing slurry containing an abrasive, hypochlorite, a copolymer of acrylic acid and methacrylic acid, benzotriazole, poly(methyl vinyl ether) and a non-ionic surfactant at a pH of 9 to 11.
    Type: Grant
    Filed: July 28, 2014
    Date of Patent: March 29, 2016
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Hongyu Wang, Lee Melbourne Cook, Jiun-Fang Wang, Ching-Hsun Chao
  • Patent number: 9232789
    Abstract: The invention relates to a biostatic neutralizing composition for aqueous fluids, including two amines, one of which is dihydroxyethylamine. The invention also relates to the use of such a composition as a biostatic neutralizing additive for industrial aqueous fluids. The invention further relates to the industrial aqueous fluids containing such a composition.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: January 12, 2016
    Assignee: ARKEMA FRANCE
    Inventor: Jean-Pierre Lallier
  • Patent number: 9193026
    Abstract: A method for polishing at least one semiconductor wafer while supplying a polishing agent includes performing a first simultaneous double-side polishing of the front side and the back side of the at least one semiconductor wafer with first upper and lower polishing pads, edge-notch polishing the surface of the at least one semiconductor wafer, performing a second simultaneous double-side polishing of the front side and the back side of the at least on semiconductor wafer with second upper and lower polishing pads, where the upper and lower polishing pads for the first simultaneous double-side polishing are harder and less compressible than the upper and lower polishing pads for the second simultaneous double-side polishing and performing single-side polishing of the front side of the at least one semiconductor wafer.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: November 24, 2015
    Assignee: SILTRONIC AG
    Inventor: Juergen Schwandner
  • Patent number: 9064836
    Abstract: A semiconductor wafer, die and semiconductor package formed therefrom are disclosed, where the inactive surface of the wafer has an extrinsic gettering pattern formed from a texturing process. In examples, the texturing process follows a polishing process that removes stress concentration point from the inactive surface of the wafer.
    Type: Grant
    Filed: August 9, 2010
    Date of Patent: June 23, 2015
    Assignee: SanDisk Semiconductor (Shanghai) Co., Ltd.
    Inventors: Chin-Tien Chiu, Shrikar Bhagath, Yuang Zhang, Lu Zhong, Kaiyou Qian
  • Patent number: 9039925
    Abstract: Provided is a polishing slurry composition, including a non-ionic surfactant represented by the following formula (1) R—(OCH2CH2)x—OH??formula (1) wherein x is an integer from 1 to 50, and R is selected from a group consisting of a C3-C50 alkyl group, a C6-C55 benzylalkyl group and a C6-C55 phenylalkyl group.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: May 26, 2015
    Assignee: UWIZ Technology Co., Ltd.
    Inventors: Wei-Jung Chen, Wen-Tsai Tsai, Ho-Ying Wu, Song-Yuan Chang, Ming-Hui Lu
  • Patent number: 9017563
    Abstract: Provided is a plating method of a circuit substrate comprising a conductive pattern in which a metal layer containing at least silver and copper is exposed on an outer surface. The plating method comprises: step (A) of treating the circuit substrate with a first liquid agent containing an oxidizing agent; step (B) of treating the circuit substrate after the step (A) with a second liquid agent which dissolves copper oxide, and thereby removing copper oxide from the conductive pattern's surface; step (C) of treating the circuit substrate after the step (B) with a third liquid agent whose rate of dissolving silver oxide (I) at 25° C. is 1000 times or more faster than its rate of dissolving copper (0) at 25° C., and thereby removing silver oxide from the conductive pattern's surface; and step (D) of performing electroless plating on the conductive pattern of the circuit substrate after the step (C).
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: April 28, 2015
    Assignee: Tokuyama Corporation
    Inventors: Emi Ushioda, Tetsuo Imai
  • Patent number: 9018099
    Abstract: An object of the present invention is to provide a polishing pad that is prevented from causing an end-point detection error due to a reduction in light transmittance from the early stage to the final stage of the process, and to provide a method of producing a semiconductor device with the polishing pad. The present invention is directed to a polishing pad, comprising a polishing layer comprising a polishing region and a light-transmitting region, wherein a polishing side surface of the light-transmitting region is subjected to a surface roughness treatment, and the light-transmitting region has a light transmittance of 40% to 60% at a wavelength of 600 nm before use.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: April 28, 2015
    Assignee: Toyo Tire & Rubber Co., Ltd.
    Inventors: Kazuyuki Ogawa, Atsushi Kazuno, Tsuyoshi Kimura, Tetsuo Shimomura
  • Patent number: 9018100
    Abstract: Damascene processes using physical vapor deposition (PVD) sputter carbon film as a chemical mechanical planarization (CMP) stop layer for forming a magnetic recording head are provided. In one embodiment, one such process includes providing an insulator, removing a portion of the insulator to form a trench within the insulator, depositing a carbon material on first portions of the insulator using a physical vapor deposition process, disposing at least one ferromagnetic material on second portions of the insulator to form a pole including a portion of the ferromagnetic material within the trench, and performing a chemical mechanical planarization on the at least one ferromagnetic material using at least a portion of the carbon material as a stop for the chemical mechanical planarization.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: April 28, 2015
    Assignee: Western Digital (Fremont), LLC
    Inventors: Yanfeng Chen, Yunjun Tang, Yana Qian, Ming M. Yang, Yunfei Li, Paul E. Anderson
  • Patent number: 9012327
    Abstract: A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: April 21, 2015
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Patent number: 9005472
    Abstract: An aqueous polishing agent, comprising, as the abrasive, at least one kind of polymer particles (A) finely dispersed in the aqueous phase and having at their surface a plurality of at least one kind of functional groups (a1) capable of interacting with the metals and/or the metal oxides on top of the surfaces to be polished and forming complexes with the said metals and metal cations, the said polymer particles (A) being preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomer or polymer containing a plurality of functional groups (a1); graft copolymers preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomeric or polymeric aminotriazine-polyamine condensate; and a process for the chemical and mechanical polishing of patterned and unstructured metal surfaces making
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Mario Brands, Yuzhuo Li, Maxim Peretolchin
  • Patent number: 8999187
    Abstract: A method for manufacturing a device on a substrate includes forming a layer structure on the substrate, forming an auxiliary layer on the layer structure, forming a planarization layer on the auxiliary layer and on the substrate, exposing the auxiliary layer by a chemical mechanical polishing process and removing at least partly the auxiliary layer to form a planar surface of the remaining auxiliary layer or of the layer structure and the planarization layer. The chemical mechanical polishing process has a first removal rate with respect to the planarization layer and a second removal rate with respect to the auxiliary layer and the first removal rate is greater than the second removal rate.
    Type: Grant
    Filed: November 27, 2013
    Date of Patent: April 7, 2015
    Assignee: Infineon Technologies AG
    Inventors: Sandra Obernhuber, Christof Jalics, Joerg Adler, Uwe Hoeckele, Walter Preis, Reinhard Goellner, Tanja Ippisch, Patricia Nickut
  • Patent number: 8980122
    Abstract: The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: March 17, 2015
    Assignee: General Engineering & Research, L.L.C.
    Inventor: Robin Ihnfeldt