Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application

A method for depositing a passivation layer on a substrate surface using one or more electroplating techniques is provided. Embodiments of the method include selectively depositing an initiation layer on a conductive material by exposing the substrate surface to a first electroless solution, depositing a passivating material on the initiation layer by exposing the initiation layer to a second electroless solution, and cleaning the substrate surface with an acidic solution. In another aspect, the method includes applying ultrasonic or megasonic energy to the substrate surface during the application of the acidic solution. In still another aspect, the method includes using the acidic solution to remove between about 100 Å and about 200 Å of the passivating material. In yet another aspect, the method includes cleaning the substrate surface with a first acidic solution prior to the deposition of the initiation layer.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a divisional of co-pending U.S. patent application Ser. No. 10/284,855, filed Oct. 30, 2002, which is herein incorporated by reference.

BACKGROUND OF THE INVENTION

1. Field of the Invention

Embodiments of the invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to a method for forming metal interconnects.

2. Description of the Related Art

Sub-quarter micron multilevel metallization is one of the key technologies for the next generation of very large scale integration (VLSI). The multilevel interconnects that lie at the heart of this technology possess high aspect ratio features, including contacts, vias, lines, or other apertures. Reliable formation of these features is very important to the success of VLSI and to the continued effort to increase quality and circuit density on individual substrates. Therefore, there is a great amount of ongoing effort being directed to the formation of void-free features having high aspect ratios (height:width) of 4:1 or greater.

The presence of native oxides and other contaminants within a feature causes problems during fabrication. For example, the presence of native oxides and other contaminants within a feature creates voids by promoting uneven distribution of a depositing layer. The presence of native oxides and other contaminants can also reduce the electromigration resistance of vias and small features. Further, the presence of native oxides and other contaminants can diffuse into the dielectric layer, the sublayer, or the depositing layer and alter the performance of the device. Typically, native oxides are formed when a substrate surface having a nonconductive layer (silicon, silicon oxide) or a conductive layer (aluminum, tungsten, titanium, tantalum, tungsten, copper) disposed thereon, is exposed to oxygen in the atmosphere or is damaged in a plasma etch step. The “other contaminants” may be generated from sputtered material from an oxide over-etch, residual photoresist from a stripping process, leftover polymer from a previous oxide etch step, or redeposited material from a pre-clean sputter etch process, for example.

A typical process for forming an interconnect on a substrate includes depositing one or more layers, etching at least one of the layer(s) to form one or more features, depositing a barrier layer in the feature(s) and depositing one or more layers to fill the feature. Copper and its alloys have become the metals of choice for filling sub-micron interconnect technology because copper has a lower resistivity than aluminum, (1.7 μΩ-cm compared to 3.1 μΩ-cm for aluminum), a higher current carrying capacity, and a significantly higher electromigration resistance. Copper also has good thermal conductivity and is available in a highly pure state.

However, copper readily forms oxides when exposed to atmospheric conditions. Copper oxides increase the resistance of metal layers, become a source of particle problems, and reduce the reliability of the overall circuit. Copper oxides may also interfere with subsequent deposition processes.

One solution to prevent the formation of copper oxides is to deposit a passivation layer or encapsulation layer over the copper layer. A passivation layer isolates copper surfaces from ambient oxygen. Cobalt and cobalt alloys have been observed as suitable materials for passivating copper and may be deposited on copper by electroless deposition techniques. However, copper does not satisfactorily catalyze or initiate deposition of cobalt and cobalt alloys from electroless solutions.

To counteract this problem, a common approach has been to activate the copper surface by first depositing a catalytic material on the copper surface. The deposition of the catalytic material typically requires multiple, time consuming steps and, most times, the use of catalytic colloid compounds. Catalytic colloid compounds can adhere to dielectric materials and produce undesired, excessive, and non-selective deposition of passivating material on the substrate surface. Non-selective deposition of passivating material, such as deposition on dielectric materials, may lead to surface contamination, unwanted diffusion of conductive materials into dielectric materials, and even device failure from short circuits and other device irregularities.

There is a need, therefore, for a method for selectively depositing a passivation layer on a conductive substrate using one or more electroplating techniques.

SUMMARY OF THE INVENTION

Embodiments of the invention provide a method for depositing a passivation layer on a substrate surface using one or more electroplating techniques. In one aspect, the method includes selectively depositing an initiation layer on a conductive material by exposing the substrate surface to a first electroless solution, depositing a passivating material on the initiation layer by exposing the initiation layer to a second electroless solution, and cleaning the substrate surface with an acidic solution.

In another aspect, the method includes polishing a substrate surface to expose a conductive material disposed in a dielectric material, exposing the substrate surface to a first acidic solution, selectively depositing an initiation layer on the conductive material by exposing the substrate surface to a first electroless solution, electrolessly depositing a passivating material comprising cobalt or a cobalt alloy on the initiation layer, and cleaning the substrate surface with a second acidic solution.

In yet another aspect, the method includes cleaning a substrate surface with a first acidic solution, selectively depositing a noble metal selected from the group of palladium, platinum, alloys thereof, and combinations thereof on the substrate surface by exposing the substrate surface to an acidic electroless solution containing a noble metal salt and an inorganic acid, electrolessly depositing cobalt or a cobalt alloy on the noble metal, cleaning the substrate surface with a second acidic solution, and applying ultrasonic or megasonic energy to the substrate surface while cleaning the substrate surface with the second acidic solution.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited aspects of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 illustrates an exemplary processing sequence according to embodiments of the invention described herein.

FIG. 2 illustrates an alternative processing sequence according to embodiments of the invention described herein.

FIGS. 3A-3G are simplified, schematic sectional views of an exemplary wafer at different stages of an interconnect fabrication sequence according to embodiments.

DETAILED DESCRIPTION

FIG. 1 illustrates an exemplary processing sequence 100 according to embodiments of the invention. In step 110, a substrate surface having one or more conductive materials at least partially formed thereon, such as copper for example, is pre-rinsed/treated to remove metal oxides or other contaminants from the substrate surface. “Substrate surface” as used herein refers to a layer of material that serves as a basis for subsequent processing operations. For example, a substrate surface may contain one or more conductive metals, such as aluminum, copper, tungsten, or combinations thereof, for example, and may form part of an interconnect feature such as a plug, via, contact, line, wire, and may also form part of a metal gate electrode. A substrate surface may also contain one or more nonconductive materials, such as silicon, doped silicon, germanium, gallium arsenide, glass, and sapphire, for example.

The pre-rinse/treatment process utilizes an acidic solution to remove/etch a top portion of the substrate surface, such as between about 10 Å and about 50 Å, which may have contaminating materials from a prior processing step. Such a prior processing step may be a planarizing process, for example. The acidic solution may contain an inorganic acid solution. For example, the acidic solution may contain between about 0.2 weight percent (wt %) to about 5 wt % of hydrofluoric acid (HF), such as about 0.5 wt %. The acidic solution may also contain nitric acid having a concentration between about 1 M and about 5 M. Alternatively, the acidic solution may be a mixture of sulfuric acid having a concentration between about 0.5 percent by volume (vol %) and about 10 vol %, such as between about 1 vol % and about 5 vol %, and hydrogen peroxide having a concentration between about 5 vol % and about 40 vol %, such as about 20 vol %.

The pre-rinse solution is generally applied to the substrate surface at a rate between about 50 mUmin and about 2,000 mL/min, such as between about 700 mL/min and about 900 mL/min. The pre-rinse solution is typically applied for about 5 seconds to about 300 seconds, such as between about 30 seconds and about 60 seconds at a temperature between about 15° C. and about 60° C. The pre-rinse solution may be applied in the same processing chamber or processing cell as any of the subsequent deposition processes.

Optionally, a rinsing agent, such as deionized water for example, is then applied to the substrate surface to remove any remaining pre-rinse solution, any etched materials and particles, and any by-products that may have formed during the pre-rinse (step 110). The rinsing agent is generally applied to the substrate surface at a flow rate between about 50 mL/min and about 2,000 mL/min, such as between about 700 mL/min and about 900 mL/min. The rinsing agent is typically applied for about 5 seconds to about 300 seconds, such as between about 30 seconds and about 60 seconds at a temperature between about 15° C. and about 80° C. The rinsing agent may be applied by a spraying method as well as by any other method used for cleaning a substrate, such as by rinsing in an enclosure containing a cleaning solution or bath.

Following the pre-rinse process (step 110), an initiation layer is deposited on the substrate surface, as shown at step 120. In one aspect, the initiation layer is formed on the substrate surface by selectively depositing a noble metal, such as palladium, on the exposed conductive materials of the substrate surface (step 122). In another aspect, the initiation layer is formed on the substrate surface by exposing/rinsing the substrate surface with one or more boron-based reducing agents (step 124).

The initiation layer may be formed on the conductive portions of the substrate surface by electrolessly depositing one or more noble metals thereon. The electroless solution generally provides for the deposition of a noble metal to a thickness of about 50 Å or less, such as about 10 Å or less. The noble metal may be palladium, platinum, gold, silver, iridium, rhenium, rhodium, ruthenium, osmium, or any combination thereof. Preferably, the noble metal is palladium or platinum.

In one aspect, the initiation layer is deposited from an electroless solution containing at least one noble metal salt and at least one acid (step 122). A concentration of the noble metal salt within the electroless solution should be between about 20 parts per million (ppm) and about 20 grams per liter (g/L), such as between about 80 ppm and about 300 ppm. Exemplary noble metal salts include palladium chloride (PdCl2), palladium sulfate (PdSO4), palladium ammonium chloride, and combinations thereof.

The acid may be one or more inorganic acids, such as hydrochloric acid (HCl), sulfuric acid (H2SO4), hydrofluoric acid (HF), and combinations thereof, for example. Alternatively, the acid may be one or more organic acids, such as a carboxylic acid, including acetic acid (CH3COOH), for example. A sufficient amount of acid is included to provide an electroless solution having a pH of about 7 or less. Preferably, the pH of the electroless solution is between about 1 and about 3.

In step 122, the electroless solution for forming the initiation layer is generally applied to the substrate surface at a rate between about 50 mL/min and about 2,000 mL/min, such as between about 700 mL/min and about 900 mL/min. The electroless solution is typically applied for about 1 second to about 300 seconds, such as between about 5 seconds and about 60 seconds, at a temperature between about 15° C. and about 80° C.

In another aspect, the initiation layer is formed by rinsing or exposing the substrate surface to a borane-containing composition (step 124). The borane-containing composition forms a metal boride layer selectively on the exposed conductive metals and becomes a catalytic site for subsequent electroless deposition processes. The borane-containing composition contains one or more boron-based reducing agents, such as sodium borohydride, dimethylamine borane (DMAB), trimethylamine borane, and combinations thereof. Any alkali metal borohydrides and alkyl amine boranes may also be used. The borane-containing composition has a boron reducing agent concentration of about 0.25 grams per liter (g/L) to about 6 g/L, such as between about 2 g/L and about 4 g/L. The borane-containing composition may additionally include one or more pH adjusting agents to adjust a pH of the composition to between about 8 and about 13. Suitable pH adjusting agents include potassium hydroxide (KOH), sodium hydroxide (NaOH), ammonium hydroxide, ammonium hydroxide derivatives, such as tetramethyl ammonium hydroxide, and combinations thereof.

In step 124, the underlying conductive material is exposed to the borane-containing composition for about 30 seconds to about 180 seconds at a temperature between about 15° C. and about 80° C. The borane-containing composition may be applied at a rate between about 50 mL/min and about 2,000 mL/min, such as between about 700 mL/min and about 900 mL/min. In one aspect, the borane-containing composition may include about 4 g/L of dimethylamine borane (DMAB) and a sufficient amount of sodium hydroxide to provide a pH of about 9.

Optionally, a rinsing agent, such as deionized water, for example, is applied to the substrate surface to remove any solution used in forming the initiation layer. The rinsing agent is generally applied to the substrate surface at a rate between about 50 mL/min and about 2,000 mL/min, such as between about 700 mL/min and about 900 mL/min. The rinsing agent is applied for about 5 seconds to about 300 seconds, such as between about 30 seconds and about 60 seconds, at a temperature between about 15° C. and about 80° C. The rinsing agent may be applied by a spraying method as well as by any other method for cleaning a substrate, such as by rinsing in an enclosure containing a cleaning solution or bath.

A passivation layer is next deposited on the exposed initiation layer by a selective electroless deposition process in step 130. Preferably, the passivation layer includes cobalt or a cobalt alloy. For example, useful cobalt alloys include cobalt-tungsten alloys, cobalt-phosphorus alloys, cobalt-tin alloys, cobalt-boron alloys, and ternary alloys, such as cobalt-tungsten-phosphorus and cobalt-tungsten-boron. The passivation layer may also include other metals and metal alloys, such as nickel, tin, titanium, tantalum, tungsten, molybdenum, platinum, iron, niobium, palladium, nickel cobalt alloys, doped cobalt, doped nickel alloys, nickel iron alloys, and combinations thereof. The passivation layer may be deposited to have a thickness of about 500 Å or less, such as between about 300 Å and about 500 Å. The passivation layer isolates and protects an underlying metal layer from exposure to oxygen, for example. Accordingly, the passivation layer prevents the formation of metal oxides.

Cobalt alloys, such as cobalt-tungsten, may be deposited by adding tungstic acid or tungstate salts, such as sodium tungstate, ammonium tungstate, and combinations thereof. Phosphorus for the cobalt-tungsten-phosphorus deposition may be obtained by using phosphorus-containing reducing agents, such as hypophosphite. Cobalt alloys, such as cobalt-tin, may be deposited by adding stannate salts including stannic sulfate, stannic chloride, and combinations thereof. The metals salts may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.

In one aspect, the passivation layer (step 130) is deposited from a metallic electroless solution containing at least one metal salt and at least one reducing agent. Suitable metal salts include chlorides, sulfates, sulfamates, or combinations thereof. One example of a metal salt is cobalt chloride. The metal salt may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L.

Suitable reducing agents include sodium hypophosphite, hydrazine, formaldehyde, and combinations thereof. The reducing agents may also include borane-containing reducing agents, such as dimethylamine borane and sodium borohydride. The reducing agents have a concentration between about 1 g/L and about 30 g/L of the electroless solution. For example, hypophosphite may be added to the electroless solution at a concentration between about 15 g/L and about 30 g/L of the electroless composition.

The electroless solution may further include between about 0.01 g/L and about 50 g/L of one or more additives to improve deposition of the metal. Additives may include surfactants (RE 610), complexing agents (carboxylic acids, such as sodium citrate and sodium succinate), pH adjusting agents (sodium hydroxide, potassium hydroxide), stabilizers (thiourea, glycolic acid), and combinations thereof.

The metallic electroless solution is applied to the substrate surface at a rate between about 50 mL/min and about 2,000 mL/min, such as between about 700 mL/min and about 900 mL/min. The metallic electroless solution is applied for about 30 seconds to about 180 seconds, such as between about 60 seconds and about 120 seconds, at a temperature between about 60° C. and about 90° C.

In one aspect, a cobalt electroless composition for forming the passivation layer may include about 20 g/L of cobalt sulfate, about 50 g/L of sodium citrate, about 20 g/L of sodium hypophosphite, and a sufficient amount of potassium hydroxide to provide a pH of between about 9 and about 11. This electroless composition may be applied to the substrate surface for about 120 seconds at a flow rate of about 750 mL/min and at a temperature of about 80° C. In another aspect, a cobalt-tungsten layer may be deposited by the addition of about 10 g/L of sodium tungstate.

Following the passivation layer deposition, the substrate surface may be cleaned to remove unwanted portions of the passivating material (step 140). In one aspect, the substrate surface is rinsed with one or more oxidizing agents (step 142). In another aspect, ultrasonic or megasonic energy is applied to the substrate surface (step 144) during the rinse (step 142) to enhance removal of the unwanted materials.

The post-deposition cleaning solution may include: (1) a solution of nitric acid and deionized water; (2) a mixture of nitric acid and hydrogen peroxide; (3) a mixture of sulfuric acid and hydrogen peroxide; (4) a mixture of hydrochloric acid and hydrogen peroxide; or (5) any combination thereof. The mixture of nitric acid and deionized water has an acid to water ratio between about 1:2 to about 3:1, such as about 1:1. The mixture of nitric acid and hydrogen peroxide has an acid to peroxide ratio between about 1:2 to about 3:1, such as about 2:1. The mixture of sulfuric acid and hydrogen peroxide has an acid to peroxide ratio between about 2:1 to about 4:1, such as about 3:1. The mixture of hydrochloric acid and hydrogen peroxide has an acid to peroxide ratio between about 2:1 to about 4:1, such as about 3:1. Typically, the hydrogen peroxide is an aqueous solution comprising between about 15% to about 40% hydrogen peroxide, such as 30% hydrogen peroxide. Regardless of the specific cleaning composition, the cleaning solution is generally applied to the substrate surface at a rate between about 700 mL/min and about 900 mL/min, at a temperature between about 15° C. and about 35° C., and at a pressure between about 0.5 atm and about 3 atm.

The post-deposition cleaning solutions are believed to clean free cobalt particles, remove cobalt oxide, and remove reaction by-products, such as Co(OH)2 formed during deposition. The cleaning solution is also believed to remove a layer of cobalt material between about 1 Å to about 400 Å in thickness, such as between about 100 Å and about 200 Å, to remove any random growth or lateral growth of cobalt materials on the substrate surface and over the exposed conductive materials. Once cleaned, the substrate can be transferred for additional processing, such as annealing or subsequent deposition processes.

In one aspect, the cleaning step (step 140) may be enhanced using one or more sources of ultrasonic or megasonic energy applied to the substrate support pedestal or substrate surface (step 144). For example, ultrasonic energy may be applied at a power between about 10 watts and about 250 watts, such as between about 10 watts and about 100 watts to the substrate support pedestal. The ultrasonic energy may have a frequency between about 25 kHz and about 200 kHz, preferably greater than about 40 kHz. The ultrasonic energy may be applied for between about 3 seconds and about 600 seconds, but longer time periods may be used depending upon the application. If two or more sources of ultrasonic energy are used, then simultaneous multiple frequencies may be used.

FIG. 2 illustrates an alternative processing sequence in process 200 according to embodiments of the invention described herein. Similar to the process 100 described above with reference to FIG. 1, a substrate surface having one or more conductive materials at least partially formed thereon, such as copper, for example, is pre-rinsed/treated to remove metal oxides or other contaminants from the substrate surface, in step 210. Next, a passivation layer is deposited on the substrate surface using an electroless solution containing at least one metal salt and at least one borane-containing reducing agent, in step 220. Finally, the substrate surface is cleaned in step 230, by rinsing with one or more oxidizing agents and/or applying ultrasonic energy during the rinse step.

The pre-rinse step 210 and the post-rinse step 230 are similar to steps 110 and 140, respectively, which have been described above. Step 220 forms a passivation layer on the substrate surface using a mixture of metal salt(s) and borane-reducing agent(s). Suitable metal salts include chlorides, sulfates, sulfamates, or combinations thereof. The metal salt may be in the electroless solution at a concentration between about 0.5 g/L and about 30 g/L, such as between about 2.5 g/L and about 25 g/L. Cobalt alloys, such as cobalt-tungsten may be deposited by adding tungstic acid or tungstate salts, such as sodium tungstate, ammonium tungstate, and combinations thereof. Suitable borane-containing reducing agents include alkali metal borohydrides, such as sodium borohydride, alkyl amine boranes, such as dimethylamine borane (DMAB) and trimethylamine borane, and combinations thereof. The borane-containing reducing agent contains between about 0.25 g/L and about 6 g/L of the boron-containing composition, such as between about 2 g/L and about 4 g/L. The presence of the borane-containing reducing agents allow for the formation of cobalt-boron alloys, such as cobalt-tungsten-boron and cobalt-tin-boron among others.

In one aspect, a cobalt electroless composition for forming the metal layer with a borane-containing reducing agent includes about 20 g/L of cobalt sulfate, about 50 g/L of sodium citrate, about 4 g/L of dimethylamine borane, and a sufficient amount of potassium hydroxide to provide a pH of between about 10 and about 12. This electroless composition may be applied to the substrate surface for about 120 seconds at a flow rate of about 750 mL/min and at a temperature of about 80° C. Optionally, a cobalt-tungsten-boron layer may be deposited by the addition of about 10 g/L of sodium tungstate.

The processing steps described above may be performed in an integrated processing platform, such as the Electra™ ECP processing platform, which is commercially available from Applied Materials, Inc., located in Santa Clara, Calif. The Electra Cu™ ECP platform generally includes one or more electroless deposition processing (EDP) cells, pre-deposition cells, post-deposition cells, such as spin-rinse-dry (SRD) cells, etch chambers, and anneal chambers. The Electra™ ECP processing platform is more fully described in U.S. Pat. No. 6,258,223, issued on Jul. 10, 2001, which is incorporated by reference herein.

FIGS. 3A-3G are schematic representations of an exemplary interconnect structure 300 at different stages of fabrication in accordance with embodiments of the invention described herein. FIG. 3A shows an underlying substrate surface 310 having a dielectric layer 312 formed thereon. The dielectric layer 312 may be any dielectric material including a low k dielectric material (k≦4.0), whether presently known or yet to be discovered. For example, the dielectric layer 312 may be fluorinated silicon glass (FSG), silicon dioxide, silicon carbide, or siloxy carbide deposited using conventional deposition techniques, such as physical vapor deposition and chemical vapor deposition. The dielectric layer 312 is etched to form a feature 314 therein using conventional and well-known techniques. The feature 314 may be a plug, via, contact, line, wire, or any other interconnect component. For simplicity and ease of description, however, the feature 314 will be further described with reference to a via. Typically, the feature 314 has vertical sidewalls 316 and a floor 318, having an aspect ratio of about 4:1 or greater, such as about 6:1. The floor 318 exposes at least a portion of the underlying substrate surface 310. Although not shown, a wire definition may be etched with the via as is commonly known to form a dual damascene structure.

FIG. 3B shows a barrier layer 330 at least partially deposited on the underlying metal layer 310. Prior to depositing the barrier layer 330, the patterned or etched substrate dielectric layer 312 may be cleaned to remove native oxides or other contaminants from the surface thereof. For example, reactive gases are excited into a plasma within a remote plasma source chamber such as a Reactive Pre-Clean chamber available from Applied Materials, Inc., located in Santa Clara, Calif. Pre-cleaning may also be done within a metal CVD or PVD chamber by connecting the remote plasma source thereto. Alternatively, metal deposition chambers having gas delivery systems could be modified to deliver the pre-cleaning gas plasma through existing gas inlets such as a gas distribution showerhead positioned above the substrate.

In one aspect, the reactive pre-clean process forms radicals from a plasma of one or more reactive gases, such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof. For example, a reactive gas may include a mixture of tetrafluorocarbon (CF4) and oxygen (O2), or a mixture of helium (He) and nitrogen trifluoride (NF3). More preferably, the reactive gas is a mixture of helium and nitrogen trifluoride.

The plasma is typically generated by applying a power of about 500 watts to 2,000 watts RF at a frequency of about 200 kHz to 114 MHz. The flow of reactive gases ranges between about 100 sccm and about 1,000 sccm and the plasma treatment lasts for about 10 seconds to about 150 seconds. Preferably, the plasma is generated in one or more treatment cycles and purged between cycles. For example, four treatment cycles lasting 35 seconds each is effective.

In another aspect, the patterned or etched dielectric layer 312 may be pre-cleaned first using an argon plasma and then a hydrogen plasma. A processing gas having greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 mTorr. A plasma is struck to subject the dielectric layer 312 to an argon sputter cleaning environment. The argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power. The argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma.

Following the argon plasma, the chamber pressure is increased to about 140 mTorr, and a processing gas consisting essentially of hydrogen and helium is introduced into the processing region. Preferably, the processing gas comprises about 5% hydrogen and about 95% helium. The hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds.

The barrier layer 330 is conformally deposited on the floor 318 as well as the side walls 316 of the feature 314 using conventional deposition techniques. The barrier layer 330 acts as a diffusion barrier to prevent inter-diffusion of a copper metal to be subsequently deposited into the via. Preferably, the barrier layer 330 is a thin layer of a refractory metal having a thickness between about 10 Å and about 1,000 Å. For example, the barrier layer 330 may include tungsten (W), tantalum (Ta), titanium (Ti), tantalum nitride (TaN), titanium nitride (TiN), or combinations thereof. Preferably, the barrier layer contains tantalum nitride deposited to a thickness of about 20 Å or less using atomic layer deposition or cyclical layer deposition techniques, such as the cyclical layer deposition process shown and described in co-pending U.S. patent application Ser. No. 10/199,415, filed on Jul. 18, 2002, entitled “Enhanced Copper Growth With Ultrathin Barrier Layer For High Performance Interconnects,” which is incorporated by reference herein.

FIG. 3C shows a seed layer 340 at least partially deposited on the barrier layer 330. The seed layer 340 is a copper or a copper alloy material, which may be deposited using physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating techniques. Preferably, the seed layer 340 is deposited using a high density plasma physical vapor deposition (HDP-PVD) process to enable good conformal coverage. One example of a HDP-PVD chamber is the Self-Ionized Plasma SIP™ chamber, available from Applied Materials, Inc. of Santa Clara, Calif.

FIG. 3D shows a bulk metal layer 350 at least partially deposited on the seed layer 340. The bulk metal layer 350 is deposited on the seed layer 340 to fill the via. The bulk metal layer 350 may be deposited using CVD, PVD, electroplating, or electroless techniques to a thickness between about 1,000 Å and about 2,000 Å. The bulk metal layer 350 may include aluminum, titanium, tungsten, copper, and combinations thereof. Preferably, the bulk metal layer 350 contains copper deposited within an electroplating cell, such as the Electra™ Cu ECP system, available from Applied Materials, Inc. of Santa Clara, Calif.

A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry,” which is incorporated by reference herein. Typically, the electroplating bath has a copper concentration greater than about 0.7 M, for example, a copper sulfate concentration of about 0.85 M, and a pH of about 1.75. The electroplating bath may also contain various additives as is well known in the art. The temperature of the bath is between about 15° C. and about 25° C. The bias is between about −15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negative bias ranges from about −0.1 volts to about −10 volts.

Optionally, an anneal treatment may be performed following the metal layer 350 deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C. for about 10 minutes to about 1 hour, preferably about 30 minutes. A carrier/purge gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of about 100 sccm to about 10,000 sccm. The chamber pressure is maintained between about 2 Torr and about 10 Torr. The RF power is about 200 watts to about 1,000 watts at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.

Following the bulk metal layer 350 deposition, the top portion of the structure 300 may be planarized. A chemical mechanical polishing (CMP) apparatus may be used, such as the Mirra™ System available from Applied Materials, Inc., located in Santa Clara, Calif. During the planarization process, portions of the copper 340 and dielectric 312 are removed from the top of the structure 300 leaving a fully planar surface. Optionally, the intermediate surfaces of the structure 300 may be planarized between the deposition of the subsequent layers described above.

FIG. 3E shows a portion of the substrate surface subjected to a pre-rinse/etch step to remove any unwanted contaminants thereon. The substrate is rinsed or cleaned using an acidic pre-clean solution to remove/etch at least a portion of the substrate surface as indicated by the dashed line 360.

FIG. 3F shows an initiation layer 370 formed over the bulk metal layer 350 as described above in step 120. The initiation layer 370 may be deposited within an electroplating cell, such as the Electra™ Cu ECP system, available from Applied Materials, Inc. The initiation layer 370 may also be deposited within the same cell as the bulk metal layer 350.

FIG. 3G shows a passivation layer 380 formed over the initiation layer 370 as described above in step 130. The passivation layer 380 may also be deposited within its own designated electroplating cell, such as the Electra™ Cu ECP system. Alternatively, the passivation layer 380 may be deposited within the same cell used to form the initiation layer 370 and/or the bulk metal layer 350. The substrate surface is then exposed to a post-deposition cleaning process as described above in step 140 shown in FIG. 1. The cleaning composition may be applied in-situ or the substrate may be transferred to a different cell prior to cleaning.

EXAMPLES

The following examples describe specific post-rinse processes performed on a 200 mm substrate according to embodiments of the invention. In each example, the 200 mm substrate surface had been prepared as follows.

A patterned or etched wafer formed according to conventional or well-known techniques was introduced into an integrated Endura® processing system available from Applied Materials, Inc., located in Santa Clara, Calif., which included a Pre-Clean II chamber, an IMP PVD Ta/TaN chamber, and a PVD Cu chamber mounted thereon, and was degassed at 350° C. for about 40 seconds. The wafer was first transferred to the Pre-Clean II chamber where about 250 Å were removed from the surface of the patterned dielectric. A tantalum barrier layer was then deposited conformally in the via having a thickness of about 250 Å using the IMP PVD Ta/TaN chamber. The wafer was then transferred to the PVD Cu chamber where a 1,000 Å thick conformal seed layer was deposited in the via. Next, the wafer was transferred to an Electra™ Cu ECP system also available from Applied Materials, Inc., located in Santa Clara, Calif., where the via was filled with copper. The wafer was then moved to a chemical mechanical polishing system, such as the Mirra™ system also available from Applied Materials, Inc., located in Santa Clara, Calif., to planarize the upper surface of the wafer.

The wafer was then transferred back to the Electra™ Cu ECP system, where an acidic pre-rinse solution was applied to the substrate surface removing about 25 Å of the top portion of the substrate surface. The pre-rinse solution was a mixture of about 0.5 wt % of HF acid and 1 M nitric acid and was applied at a flow rate of about 750 mL/min for about 60 seconds at a temperature of about 25° C. A rinsing agent (deionized water) was then sprayed onto the substrate surface at a flow rate of about 750 mL/min for about 60 seconds. Next, an initiation layer was deposited on the conductive portions of the substrate surface by applying an electroless solution containing 3 vol % (320 ppm) of palladium chloride and a sufficient amount of HCl to provide a pH of about 1.5 to the substrate surface. A 500 Å thick passivation layer was then deposited on the initiation layer by electroless deposition using a cobalt electroless solution containing 20 g/L of cobalt sulfate, 50 g/L of sodium citrate, 20 g/L of sodium hypophosphite, and a sufficient amount of potassium hydroxide to provide a pH of about 10.

Example 1

In this example, a first substrate surface, as prepared above, was exposed to an ultrasonic post-rinse process, which removed about 200 Å of the passivation layer. The post-rinse solution was a mixture of nitric acid and deionized water at a ratio of 1:1 and was applied to the substrate surface at a flow rate of about 750 mL/min for 45 seconds at a temperature of 25° C. and a pressure of 1 atm. The post-rinse process was enhanced by applying ultrasonic energy having a frequency of 100 kHz at 50 watts for 300 seconds to the substrate support pedestal.

Example 2

In this example, a second substrate surface, as prepared above, was exposed to an ultrasonic post-rinse process, which removed about 200 Å of the passivation layer. The post-rinse solution was a mixture of nitric acid and hydrogen peroxide at a ratio of 2:1 and was applied to the substrate surface at a flow rate of about 750 mL/min for 45 seconds at a temperature of 25° C. and a pressure of 1 atm. The post-rinse process was enhanced by applying ultrasonic energy having a frequency of 100 kHz at 50 watts for 300 seconds to the substrate support pedestal.

Example 3

In this example, a third substrate surface, as prepared above, was exposed to an ultrasonic post-rinse process, which removed about 200 Å of the passivation layer. The post-rinse solution included a mixture of sulfuric acid and hydrogen peroxide at a ratio of 3:1 and was applied to the substrate surface at a flow rate of about 750 mL/min for 45 seconds at a temperature of 25° C. and a pressure of 1 atm. The post-rinse process was enhanced by applying ultrasonic energy having a frequency of 100 kHz at 50 watts for 300 seconds to the substrate support pedestal.

Example 4

In this example, a fourth substrate surface, as prepared above, was exposed to an ultrasonic post-rinse process, which removed about 200 Å of the passivation layer. The post-rinse solution included a mixture of hydrochloric acid and hydrogen peroxide at a ratio of 3:1 and was applied to the substrate surface at a flow rate of about 750 mL/min for 45 seconds at a temperature of 25° C. and a pressure of 1 atm. The post-rinse process was enhanced by applying ultrasonic energy having a frequency of 100 kHz at 50 watts for 300 seconds to the substrate support pedestal.

While the foregoing is directed to the preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims that follow.

Claims

1. A method of processing a substrate, comprising:

polishing a substrate surface to expose a conductive material disposed in a dielectric material;
cleaning the substrate surface with a first acidic solution;
selectively depositing an initiation layer on the conductive material by exposing the substrate surface to an initiation solution;
depositing a passivating material comprising cobalt or a cobalt alloy on the initiation layer by exposing the substrate surface to an electroless solution; and
cleaning the substrate surface with a cleaning process.

2. The method of claim 1, wherein the first acidic solution comprises a mixture selected from a group consisting of nitric acid and deionized water at a ratio of about 1:2 to about 3:1, nitric acid and hydrogen peroxide at a ratio of about 1:2 to about 3:1, sulfuric acid and hydrogen peroxide at a ratio of about 2:1 to about 4:1, or hydrochloric acid and hydrogen peroxide at a ratio of about 2:1 to about 4:1.

3. The method of claim 2, wherein the initiation solution comprises a noble metal source selected from the group consisting of palladium, platinum or ruthenium.

4. The method of claim 2, wherein the initiation solution comprises a borane reductant.

5. The method of claim 2, wherein the first acidic solution comprises between about 0.2 wt % and about 5 wt % of hydrofluoric acid and is applied to the substrate surface for about 300 seconds or less at a temperature in a range from about 15° C. to about 60° C.

6. The method of claim 5, wherein the electroless solution comprises a cobalt source, a tungsten source, a hypophosphite source, a borane reductant, a citrate source and a surfactant.

7. A method of processing a substrate, comprising:

cleaning a substrate surface with a first acidic solution;
selectively depositing a noble metal on the substrate surface by exposing the substrate surface to an acidic electroless solution containing a noble metal salt and an inorganic acid;
electrolessly depositing cobalt or a cobalt alloy on the noble metal;
cleaning the substrate surface with a second acidic solution selected from the group consisting of nitric acid and deionized water at a ratio of about 1:2 to about 3:1, nitric acid and hydrogen peroxide at a ratio of about 1:2 to about 3:1, sulfuric acid and hydrogen peroxide at a ratio of about 2:1 to about 4:1, or hydrochloric acid and hydrogen peroxide at a ratio of about 2:1 to about 4:1; and
applying ultrasonic or megasonic energy to the substrate surface while cleaning the substrate surface with the second acidic solution.

8. The method of claim 7, wherein the first acidic solution comprises between about 0.2 wt % and about 5 wt % of hydrofluoric acid and is applied to the substrate surface for about 300 seconds or less at a temperature in a range from about 15° C. to about 60° C.

9. The method of claim 8, wherein the second acidic solution is applied to the substrate surface at a flow rate between about 700 mL/min and about 900 mL/min for about 300 seconds or less at a temperature in a range from about 15° C. to about 35° C.

10. The method of claim 9, wherein the second acidic solution removes between about 100 Å and about 200 Å of the cobalt or cobalt alloy disposed on the substrate surface.

11. The method of claim 10, wherein the cobalt alloy comprises cobalt and at least a second element selected from the group consisting of tungsten, molybdenum, tin, phosphorous, boron and combinations thereof.

12. The method of claim 11, wherein depositing the cobalt alloy includes exposing the substrate surface to a cobalt electroless solution comprising a cobalt source, a tungsten source, a hypophosphite source, a borane reductant, a citrate source and a surfactant.

13. A method of depositing a cobalt-containing layer on a conductive material, comprising:

exposing a substrate surface to a first acidic solution to clean the conductive layer;
exposing the substrate surface to a water rinse process;
exposing the substrate surface to an initiation solution to form an initiation layer on the conductive layer;
exposing the substrate surface to the water rinse process; and
exposing the substrate surface to an electroless solution to form the cobalt-containing layer on the initiation layer, wherein the electroless solution comprises a cobalt source, a tungsten source, a hypophosphite source, a borane reductant, a citrate source, a surfactant and a pH adjusting agent in a concentration such that the electroless solution has a pH at a value in a range from about 9 to about 11.

14. The method of claim 13, wherein the conductive layer comprises copper.

15. The method of claim 14, wherein the cobalt-containing layer comprises cobalt and at least a second element selected from the group consisting of tungsten, molybdenum, tin, phosphorous, boron and combinations thereof.

16. The method of claim 15, wherein the first acidic solution comprises nitric acid.

17. The method of claim 16, further comprises exposing the substrate surface containing the cobalt-containing layer to a second acidic solution.

18. The method of claim 17, wherein the second acidic solution comprises nitric acid.

19. The method of claim 16, wherein the initiation solution comprises a noble metal source selected from the group consisting of palladium, platinum or ruthenium.

20. The method of claim 16, wherein the initiation solution comprises a borane reductant.

Patent History
Publication number: 20050136185
Type: Application
Filed: Oct 29, 2004
Publication Date: Jun 23, 2005
Inventors: Sivakami Ramanathan (Fremont, CA), Deenesh Padhi (Santa Clara, CA), Srinivas Gandikota (Santa Clara, CA), Girish Dixit (San Jose, CA)
Application Number: 10/979,078
Classifications
Current U.S. Class: 427/299.000; 427/331.000