Method and processing system for plasma-enhanced cleaning of system components

A method for plasma-enhanced cleaning of a system component in a batch-type processing system and a method for monitoring and controlling the cleaning. The cleaning is performed by introducing a cleaning gas in a process chamber of the batch-type processing system, forming a plasma by applying power to a system component within the process chamber, exposing a material deposit in the process chamber to the plasma to form a volatile reaction product, and exhausting the reaction product from the processing system. Monitoring of the processing system can be carried out to determine cleaning status of the processing system, and based upon the status from the monitoring, the processing system is controlled for either continuing the exposing and monitoring or stopping the cleaning process. A batch-type processing system is provided that allows plasma-enhanced cleaning of system components, and a system is provided with monitoring and controlling capability.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

The present invention relates to chamber processing, and more particularly to plasma-enhanced cleaning of system components during a cleaning process performed in a process chamber of a batch-type processing system.

BACKGROUND OF THE INVENTION

Many semiconductor production processes are performed in process chambers such as plasma etch chambers, plasma deposition chambers, thermal processing chambers, chemical vapor deposition chambers, atomic layer deposition chambers, etc. Processing of substrates in the process chambers can lead to formation of material deposits on system components exposed to the process environment that requires periodic cleaning of the process chambers to remove the material deposits. System components are commonly replaced or cleaned after material deposits threaten particle problems, in between incompatible processes to be run in sequence, after detrimental processing conditions, or after poor processing results are observed. Alternately, system components can be cleaned or replaced according to a predetermined maintenance schedule that can, for example, be based on the number of operating hours or accumulated depositions.

The length of a cleaning process and equipment damage from over-cleaning can depend on the type of processing system, (e.g., batch-type vs. single wafer), amount and type of material deposits formed on the system components, the cleaning gas used for removing the material deposits, and process conditions such as pressure and temperature. In a batch-type processing system, slow rates of removal of material deposits from system components in a thermal cleaning process can require long cleaning times and result in unacceptable reduction in throughput for the processing system.

SUMMARY OF THE INVENTION

A method is provided for plasma-enhanced cleaning of a batch-type processing system and a method is further provided for monitoring the process system to determine when to stop the cleaning process. The cleaning process includes introducing a cleaning gas in a process chamber of the batch-type processing system, forming a plasma by applying power to a system component within the process chamber, exposing a material deposit in the process chamber to the plasma to form a volatile reaction product, and exhausting the reaction product from the process chamber. In one embodiment, the system component to which power is applied is selected from a process tube, a substrate holder, and a substrate holder support. In another embodiment, during the cleaning process, the processing system is monitored to determine status of the processing system, and based upon the status from the monitoring, the method includes either continuing the exposing and monitoring or stopping the cleaning process.

A batch-type processing system is provided that allows plasma-enhanced cleaning of system components and a system is further provided that allows monitoring the status of the cleaning. The batch-type processing system includes a process chamber containing a material deposit, a system component within the process chamber, a gas injection system configured for introducing a cleaning gas in the process chamber, a plasma source configured for forming a plasma by applying power to the system component, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product, a vacuum pumping system configured for exhausting the reaction product from the process chamber, and a controller configured to control the processing system. In one embodiment, the system component to which power is applied is selected from a process tube, a substrate holder, and a substrate holder support. In another embodiment, the plasma processing system includes a chamber monitoring system configured for monitoring the process chamber to determine the status of the process chamber and to transmit the status to the controller, which is further configured to receive the status and control the processing system in response to the status.

The chamber monitoring system can include an optical monitoring system for detecting light emission or light absorption of a gas in the process chamber, an optical monitoring system for detecting interaction of light with the system component and/or the material deposit, or a mass sensor to detect a mass signal from a gas.

BRIEF DESCRIPTION OF THE DRAWINGS

In the figures:

FIG. 1A shows a schematic diagram of a processing system in accordance with an embodiment of the invention;

FIG. 1B shows a schematic diagram of another processing system in accordance with an embodiment of the invention;

FIG. 2 is a flowchart showing a method of plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention;

FIG. 3 is a flowchart showing a method of monitoring and controlling the plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention; and

FIG. 4 is a graph showing signal intensity as a function of processing time for monitoring status of a batch-type processing system in accordance with an embodiment of the invention.

DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION

FIG. 1A shows a schematic diagram of a processing system according to an embodiment of the invention. The batch-type processing system 100 is a thermal or plasma processing system capable of sustaining a plasma. As illustrated in FIG. 1A, the batch-type processing system 100 contains a process chamber 102, a gas injection system 104, a heater 122, a vacuum pumping system 106, a chamber monitoring system 108, and a controller 124. Multiple substrates 110 can be loaded into the process chamber 102 and processed using substrate holder 112, which may be supported by a substrate holder support 126. The substrate holder 112 can, for example, contain silicon carbide (SiC), doped silicon, SiC-coated graphite, and Si-coated graphite. Furthermore, the process chamber 102 comprises an outer section 114 and an inner section 116. In one embodiment of the invention, the inner section 116 can be a process tube. The process tube can, for example, contain quartz or SiC.

The gas injection system 104 can introduce gases into the process chamber 102 for purging the process chamber 102, for preparing the process chamber 102, for cleaning the process chamber 102, and for processing the substrates 110. A plurality of gas injector lines (not shown) can be arranged to flow gases into the process chamber 102. The gases can be introduced into volume 118, defined by the inner section 116, to expose substrates 110 thereto. Thereafter, the gases can flow into the volume 120, defined by the inner section 116 and the outer section 114, to be continuously exhausted from the process chamber 102 by the vacuum pumping system 106.

Substrates 110 can be loaded into the process chamber 102 and processed using the substrate holder 112. The batch-type processing system 100 can allow for a large number of tightly stacked substrates 110 to be processed, thereby resulting in high substrate throughput. A substrate batch size can, for example, be about 150, substrates (wafers), or less. Alternately, the batch size can be about 25 substrates, or less. The processing system 100 can be configured to process substrates of various sizes, for example 200 mm substrates, 300 mm substrates, or larger substrates. The substrates 110 can, for example, comprise semiconductor substrates (e.g., Si or compound semiconductor), LCD substrates, and glass substrates. In addition to clean substrates, substrates at various stages of device processing can be utilized.

The processing system 100 is capable of forming and sustaining a plasma in the process chamber 102. In the embodiment shown in FIG. 1A, the substrate holder 112 and/or the substrate holder support 126 can further serve as an electrode through which radio frequency (RF) power is coupled to provide a plasma in the process chamber 102. For example, the substrate holder 112 can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 98 through an impedance match network 96. The RF bias serves to form and maintain plasma in the processing region within the grounded inner section 116 and outer section 114. In this configuration, plasma can be utilized to either deposit material to substrates 110 in a deposition process, etch substrates 110, condition the process chamber 102, or to remove material deposits from the process chamber 102 in a cleaning process. A typical frequency for the RF bias ranges from 1 MHz to 100 MHz and can be 13.56 MHz.

In an alternate embodiment of the invention, RF power can be applied to the substrate holder 112 and/or the substrate holder support 126 at multiple frequencies. Furthermore, the impedance match network 96 serves to maximize the transfer of RF power to plasma in processing chamber 102 by minimizing the reflected power. Match network topologies (e.g., L-type, π-type, T-type) and automatic control methods are known in the art.

In another embodiment of the invention, RF power can be applied to multiple sections of the substrate holder 112 and/or the substrate holder support 126. In addition, the substrate holder 112 can contain multiple dummy wafers (e.g., SiC substrates) to tailor the plasma density in the process chamber 102.

In another embodiment of the invention, the substrate holder 112 and/or the substrate holder support 126 may be grounded and the RF power coupled to the inner section 116 to provide the plasma.

The batch-type processing system 100 can be controlled by a controller 124 capable of generating control voltages sufficient to control the batch-type processing system 100 as well as monitor outputs from the batch-type processing system 100. Moreover, the controller 124 can be coupled to and exchange information with process chamber 102, gas injection system 104, heater 122, chamber monitoring system 108, RF source 98, match network 96, and vacuum pumping system 106. For example, a program stored in the memory of the controller 124 can be utilized to control the aforementioned components of the batch-type processing system 100 according to a desired process, and to perform any functions associated with monitoring the process. One example of controller 124 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Tex.

Real-time process monitoring can be carried out using chamber monitoring system 108. In accordance with the present invention, the chamber monitoring system 108 can be positioned for real-time in-situ monitoring of the gaseous environment in the process chamber 120. Alternately, the chamber monitoring system 108 can be positioned to monitor the process chamber effluent. The chamber monitoring system 108 is a versatile monitoring system and includes a sensor capable of real-time process monitoring and may, for example, be a mass sensor (mass spectrometer) or an optical monitoring system for monitoring light emission or light absorption by a process gas and reaction products. The chamber monitoring system 108 can provide qualitative and quantitative analysis of the gaseous environment in process chamber 102. Process parameters that can be monitored using the chamber monitoring system 108 include ratios of gaseous species, gas purities, and reaction products including etch products.

In one embodiment of the invention, the chamber monitoring system 108 can include an optical monitoring system for monitoring interaction (reflection and/or transmission) of light with a system component and/or a material deposit.

FIG. 1B shows a schematic diagram of a processing system 1 in accordance with another embodiment of the invention. The batch-type processing system 1 is a processing system capable of sustaining a plasma. The batch-type processing system 1 contains a process chamber 10 with a process tube 25 therein that has an upper end 23 connected to an exhaust pipe 80, and a lower end 24 hermetically joined to a lid 27 of a cylindrical manifold 2, which includes those components of system 1 residing within process tube 25 and removable therefrom, as well as the lid 27 and its operating components. The exhaust pipe 80 continuously discharges gases from the process tube 25 to a vacuum pumping system 88, discussed in further detail below, to maintain a predetermined atmospheric or below atmospheric pressure in the processing system 1. A substrate holder 35 for holding a plurality of substrates (wafers) 40 in a tier-like manner (in respective horizontal planes at vertical intervals) is placed in the process tube 25. The substrate holder or boat 35 resides on a turntable 26 that is mounted on a rotatable shaft 21 penetrating the lid 27 and driven by a motor 28. Together, shaft 21 and turntable 26 provide an alternative substrate holder support to that shown in FIG. 1A. The turntable 26 can be rotated during processing to improve overall film uniformity or, alternately, the turntable 26 can be stationary during processing. The lid 27 is mounted on an elevator 22 for transferring the substrate holder 35 in and out of the process tube 25. When the lid 27 is positioned at its uppermost position, as shown in FIG. 1B, the lid 27 is adapted to close the open end of the manifold 2.

The processing system 1 can further include a cap cover (not shown) to protect the lid 27 from the processing environment. The cap cover can, for example, be made of quartz or SiC.

The processing system 1 is capable of forming and maintaining a plasma in the process chamber 10. In the embodiment shown in FIG. 1, the substrate holder support (shaft 21 and turntable 26) and/or substrate holder 35 can further serve as an electrode through which radio frequency (RF) power is coupled to plasma in the process chamber 10. For example, the substrate holder 35 or its support can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 78 through an impedance match network 76. The RF bias forms and maintains plasma in the processing region within the grounded process tube 25. Alternatively, the RF bias can be applied to the process tube 25, and the substrate holder 35 and/or substrate holder support (shaft 21 and turntable 26) are grounded. A typical frequency for the RF bias ranges from 1 MHz to 100 MHz and can be 13.56 MHz.

In an alternate embodiment of the invention, RF power can be applied at multiple frequencies. Furthermore, the impedance match network 76 serves to maximize the transfer of RF power to plasma in processing chamber 10 by minimizing the reflected power.

A plurality of gas injector lines 45 can be arranged around the manifold 2 to supply a plurality of gases into the process tube 25 through the gas injector lines 45. In FIG. 1, only one gas injector line 45 among the plurality of possible gas injector lines is shown. The gas injector line 45 is connected to a gas injection system 94. The process chamber 10 has a mirror-finished inner surface 30 to suppress dissipation of radiation heat radiated by main heater 20, bottom heater 65, top heater 15, and exhaust pipe heater 70. A helical cooling water passage (not shown) can be formed within the wall of process chamber 10 as a cooling medium passage.

The vacuum pumping system 88 comprises a vacuum pump 86, a trap 84, and an automatic pressure controller (APC) 82. The vacuum pump 86 can, for example, include a dry vacuum pump capable of a pumping speed up to 20,000 liters per second (and greater). During processing, gases can be introduced into the process chamber 10 via the gas injection system 94 and the process pressure adjusted by the APC 82. The trap 84 can collect unreacted precursor material and reaction products from the process chamber 10.

Analogous to the processing system 100 in FIG. 1A, the processing system 1 in FIG. 1B includes chamber monitoring system 92 having a sensor 75 capable of real-time process monitoring and may, for example, be a mass sensor or an optical monitoring system.

A controller 90 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 1 as well as monitor outputs from the processing system 1. Moreover, the controller 90 is coupled to and can exchange information with gas injection system 94, motor 28, chamber monitoring system 92, heaters 20, 15, 65, and 70, vacuum pumping system 88, RF source 78, and match network 76.

It is to be understood that the processing systems 100,1 in FIGS. 1A, 1B are for exemplary purposes only, as many variations of the specific hardware and software can be used to implement systems in which the present invention may be practiced, and these variations will be readily apparent to one having ordinary skill in the art. The processing systems 100, 1 in FIGS. 1A,1B have system components that can erode or become coated with material deposits during processing. A system component may include any or all parts of the processing system that are exposed to a processing environment. Examples of system components include a process tube, a shield, a ring, a baffle, a gas injector, a wafer boat (substrate holder), a substrate holder support, a cap cover, and a liner. The power to form the plasma may be applied to any of these system components within the processing chamber. In an exemplary embodiment, power is applied to at least one of the process tube, the substrate holder, or the substrate holder support. The system components can be manufactured from a variety of materials, for example ceramic materials such as oxides (e.g., quartz (SiO2) and alumina (Al2O3)), nitrides (e.g., silicon nitride (SiN)), carbides (e.g., silicon carbide (SiC)), metals (e.g., Al), and metal-containing materials (e.g., steel). A system component can be constructed from a single type of material or, alternately, it can be constructed from more than one type of material.

Processing of substrates can lead to formation of a material deposit on a surface, such as a surface of a system component in a process chamber. The material deposit can contain one or more types of material, for example Si, silicon germanium (SiGe), SiN, silicon dioxide (SiO2), doped Si, and dielectric materials including high-k metal oxides such as HfO2, HfSiOx, ZrO2, ZrSiOx.

In one embodiment of the invention, a method is provided for plasma-enhanced cleaning of a material deposit from system components. Plasma excitation of a cleaning gas can enhance the formation of a volatile reaction product when the plasma or activated cleaning gas is exposed to a material deposit. For example, the material deposit can be Si-containing and the cleaning gas can contain a halogen-containing gas (e.g., ClF3, HF, HCl, F2, NF3, CF4). The volatile reaction product can, for example, be a silicon halide (e.g., SiF4, SiCl4, and SiBr4) or a silicon oxyhalide (e.g., SixOyXz, where X is F, Cl, or Br). The cleaning gas can further contain an inert gas selected from at least one of Ar, He, Ne, Kr, Xe, and N2.

The cleaning process is monitored by a chamber monitoring system, where the monitoring can include determining if the intensity level of a monitored signal has reached a threshold value, thereby arriving at a determination of whether the system component has been sufficiently cleaned, and based on the determination, either continuing with the cleaning process or stopping the cleaning process. The cleaning process can be optimized to be selective to removing the material deposits from the system components while minimizing erosion of the system components.

FIG. 2 is a flowchart showing a method of plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention. The process 200 may be a cleaning process that is performed in a process chamber and affects the cleaning status of a system component, which includes any component within the process chamber. At 202, the process is started. At 204, a cleaning gas is introduced in a process chamber of a batch-type processing system. At 206, plasma is formed by applying power to a system component within the process chamber, and in an exemplary embodiment, that system component is at least one of the process tube, the substrate holder, or the substrate holder support. At 208, a material deposit in the process chamber is exposed to the plasma to form a volatile reaction product. The material deposit may be on the same and/or a different system component than the component to which power is supplied. At 210, the reaction product is exhausted from the processing system, and at 212, the process is stopped.

FIG. 3 is a flowchart showing a method of monitoring and controlling the plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention. The process 300 may be the monitoring and controlling of the cleaning process 200 that is described above. At 302, the process is started. At 304, the processing system is monitored by a chamber monitoring system. The monitoring can, for example, be based on a light emission signal or a light absorption signal from a gas in the processing environment or a mass signal from the cleaning gas and/or a reaction product. The monitoring can also be based on the properties of the material deposits being cleaned, such as the opacity of the films. During the cleaning process, a material deposit is removed from the process chamber (including from system components and other surfaces within the process chamber). This can alter the signal from a reaction product as the process continues in the process chamber. This change in the signal can be detected by the chamber monitoring system and correlated to the status of the process chamber. In 306, if the detected signal intensity from a cleaning gas or a reaction product has not reached a threshold value, the process 200 is continued in 304, or if the signal in 306 has reached a threshold value, a decision is made in 308 whether to continue the process 200 or to stop the process in 310.

A signal intensity from a reaction product can be monitored to determine an endpoint of a process. Correlation of a signal intensity to an endpoint of a process can be carried out by a test process that is performed while detecting a signal intensity and monitoring status of a process chamber. Status of a process chamber can, for example, be evaluated by inspecting a system component during the test process and correlating the inspected results to a detected threshold intensity recorded when a desired endpoint of the process is observed. The threshold intensity may be a fixed intensity value, or a ratio of measured signal intensity and initial signal intensity (measured at the start of the process).

FIG. 4 is a graph showing signal intensity as a function of processing time for monitoring status of a batch-type processing system in accordance with an embodiment of the invention. The process can, for example, be a cleaning process where a process chamber containing a material deposit is exposed to a cleaning gas. The signal can, for example, be a light emission signal (e.g., F-emission), a light absorption signal, or a mass signal from a Si-containing (e.g., SiF4) reaction product. Alternatively, the signal can include interaction of light (reflection or absorption) with a system component and/or a material deposit on a system component. As seen by the curve 470, the detected signal intensity generally decreases as the cleaning process takes place, due to removal of a material deposit from the process chamber and decreasing amount of the material deposit in the process chamber. While the curve 470 in FIG. 4 shows a substantial linear decrease in signal intensity, it is to be understood that the signal intensity curve depends on the characteristics of the cleaning process and may be non-linear. As also seen in FIG. 4, a threshold intensity 480 is detected at time 490 when the system component is known to be at an acceptable clean level for a desired process. It is to be understood that an acceptable clean level may vary depending on the production process to be performed in the chamber.

Returning to FIG. 3, as the signal intensity is detected at 304 during the process, the controller compares at 306 the detected signal intensity with prior stored signal intensity, and determines whether the detected signal intensity has reached the predetermined threshold intensity. When the signal threshold intensity is not yet detected, the monitoring returns to 304, and the process continues. When the threshold signal intensity is detected, a decision is made in 308 whether to continue the process in 304, or to stop the process in 308. Alternatively, the decision in 308 to continue the process may include adjustment of process parameters to enter a second phase of a process, which is then monitored until a second threshold value is reached. For example, temperature and/or cleaning gas concentration could be lowered to effect a gentler cleaning environment.

Obviously, numerous modifications and variations of the present invention are possible in light of the above teachings. It is therefore to be understood that within the scope of the appended claims, the invention may be practiced otherwise that is specifically described herein. For example, the process steps described herein and recited in the claims may be performed in a sequence other than the sequence in which they are described or listed herein. As should be understood by one of ordinary skill in the art, only those process steps necessary to the performance of a later process steps are required to be performed before the later process step is performed.

Claims

1. A method of plasma-enhanced cleaning of a batch-type processing system, the method comprising performing a cleaning process, including:

introducing a cleaning gas in a process chamber of the batch-type processing system, the process chamber having a material deposit on at least one surface therein;
forming a plasma by applying power to a system component within the process chamber, the system component selected from the group consisting of: a substrate holder for holding a plurality of substrates, a substrate holder support for supporting the substrate holder, and a process tube;
exposing the material deposit in the process chamber to the plasma to form a volatile reaction product; and
exhausting the reaction product from the process chamber.

2. The method according to claim 1 further comprising monitoring a signal from the processing system, the signal being indicative of the progress of the cleaning process, and based upon the signal, performing one of the following: (a) continue performing the cleaning process and continue monitoring; or (b) stopping the cleaning process.

3. The method according to claim 2 wherein the monitoring further comprises determining if an intensity level of the signal has reached a threshold value.

4. The method according to claim 3, wherein performing (b) occurs after determining that the threshold value has been reached.

5. The method according to claim 2, wherein the monitoring comprises using an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.

6. The method according to claim 2, wherein the monitoring comprises using an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.

7. The method according to claim 2, wherein the monitoring comprises using a mass sensor to detect a mass signal of a gas in the process chamber.

8. The method according to claim 1, wherein the introducing further comprises flowing at least one of ClF3, HF, HCl, F2, NF3, or CF4 into the process chamber.

9. The method according to claim 8, wherein the introducing further comprises flowing at least one of Ar, He, Ne, Kr, Xe, or N2.

10. A method of plasma-enhanced cleaning of a batch-type processing system, the method comprising:

performing a cleaning process, including: introducing a cleaning gas in a process chamber of the batch-type processing system, the process chamber having a material deposit on at least one surface therein, forming a plasma by applying power to a system component within the process chamber, exposing the material deposit in the process chamber to the plasma to form a volatile reaction product, and exhausting the reaction product from the process chamber;
monitoring a signal from the processing system, the signal being indicative of the progress of the cleaning process; and
based upon the signal, performing one of the following: (a) continue performing the cleaning process and continue monitoring; or (b) stopping the cleaning process.

11. The method according to claim 10 wherein the monitoring further comprises determining if an intensity level of the signal has reached a threshold value.

12. The method according to claim 11, wherein performing (b) occurs after determining that the threshold value has been reached.

13. The method according to claim 10, wherein the monitoring comprises using an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.

14. The method according to claim 10, wherein the monitoring comprises using an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.

15. The method according to claim 10, wherein the monitoring comprises using a mass sensor to detect a mass signal of a gas in the process chamber.

16. The method according to claim 10, wherein the introducing further comprises flowing at least one of ClF3, HF, HCl, F2, NF3, or CF4 into the process chamber.

17. The method according to claim 16, wherein the introducing further comprises flowing at least one of Ar, He, Ne, Kr, Xe, or N2.

18. A batch-type processing system, comprising:

a process chamber containing a material deposit on at least one surface therein;
an electrode that is a system component within the process chamber and that is selected from the group consisting of: a substrate holder for holding a plurality of substrates, a substrate holder support for supporting the substrate holder, and a process tube;
a gas injection system configured for introducing a cleaning gas in the process chamber;
a plasma source configured for forming plasma in the process chamber by applying power to the electrode, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product;
a vacuum pumping system configured for exhausting the reaction product from the process chamber; and
a controller configured to control the processing system.

19. The processing system according to claim 18, further comprising a chamber monitoring system configured for monitoring a signal from the processing system to determine cleaning status of the processing system and configured to transmit the status to the controller, and wherein the controller is further configured to receive the status and to control the processing system in response to the status.

20. The processing system according to claim 19, wherein the chamber monitoring system is further configured to determine if an intensity level of the signal has reached a threshold value, and based on the determination, either continue with the process or stop the process.

21. The processing system according to claim 19, wherein the chamber monitoring system comprises an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.

22. The processing system according to claim 19, wherein the chamber monitoring system comprises an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.

23. The processing system according to claim 19, wherein the chamber monitoring system comprises a mass sensor to detect a mass signal in the process chamber.

24. The processing system according to claim 18, wherein the electrode is the process tube and the plasma source comprises a RF generator and a match network coupled to the process tube.

25. The processing system according to claim 18, wherein the plasma source comprises a RF generator and a match network coupled to the system component within the process tube.

26. The processing system according to claim 18, wherein the plasma source is configured for applying RF power to multiple sections of the substrate holder in the process chamber.

27. The processing system according to claim 26, wherein the substrate holder further contains multiple dummy wafers.

28. The processing system according to claim 18, wherein the system component comprises at least one of quartz, Al2O, SiN, SiC, doped silicon, SiC-coated graphite, or Si-coated graphite.

29. The processing system according to claim 18, wherein the material deposit comprises at least one of Si, SiGe, SiN, SiO2, doped Si, HfO2, HfSiOx, ZrO2, or ZrSiOx.

30. The processing system according to claim 18, wherein the gas injection system is configured for introducing at least one of ClF3, HF, HCl, F2, NF3, or CF4 in the process chamber.

31. The processing system according to claim 30, wherein the gas injection system is further configured for introducing at least one of Ar, He, Ne. Kr, Xe, or N2 in the process chamber.

32. A batch-type processing system, comprising:

a process chamber containing a material deposit on at least one surface therein;
a system component within the process chamber;
a gas injection system configured for introducing a cleaning gas in the process chamber,
a plasma source configured for forming plasma in the process chamber by applying power to the system component, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product;
a vacuum pumping system configured for exhausting the reaction product from the process chamber;
a chamber monitoring system configured for monitoring a signal from the processing system to determine cleaning status of the processing system and to transmit the status; and
a controller configured to receive the status from the chamber monitoring system and to control the processing system in response to the status.

33. The processing system according to claim 32, wherein the chamber monitoring system is further configured to determine if an intensity level of the signal has reached a threshold value, and based on the determination, either continue with the process or stop the process.

34. The processing system according to claim 32, wherein the chamber monitoring system comprises an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.

35. The processing system according to claim 32, wherein the chamber monitoring system comprises an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.

36. The processing system according to claim 32, wherein the chamber monitoring system comprises a mass sensor to detect a mass signal in the process chamber.

37. The processing system according to claim 32, wherein the process chamber comprises a process tube and the plasma source comprises a RF generator and a match network coupled to the process tube.

38. The processing system according to claim 32, wherein the process chamber comprises a process tube and the plasma source comprises a RE generator and a match network coupled to the system component within the process tube.

39. The processing system according to claim 32, wherein the plasma source is configured for applying RE power to multiple sections of a substrate holder in the process chamber.

40. The processing system according to claim 39, wherein the substrate holder further contains multiple dummy wafers.

41. The processing system according to claim 32, wherein the system component comprises at least one of a process tube, a shield, a ring, a baffle, a gas injector, a substrate holder, a substrate holder support, a cap cover, or a liner.

42. The processing system according to claim 32, wherein the system component comprises at least one of quartz, Al2O, SiN, SiC, doped silicon, SiC-coated graphite, or Si-coated graphite.

43. The processing system according to claim 32, wherein the material deposit comprises at least one of Si, SiGe, SiN, SiO2, doped Si, HfO2, HfSiOx, ZrO2, or ZrSiOx.

44. The processing system according to claim 32, wherein the gas injection system is configured for introducing at least one of ClF3, HF, HCl, F2, NF3, or CF4 in the process chamber.

45. The processing system according to claim 44, wherein the gas injection system is further configured for introducing at least one of Ar, He, Ne, Kr, Xe, or N2 in the process chamber.

Patent History
Publication number: 20050211264
Type: Application
Filed: Mar 25, 2004
Publication Date: Sep 29, 2005
Applicant: Tokyo Electron Limited of TBS Broadcast Center (Tokyo)
Inventors: John Kostenko (LaGrangeville, NY), David O'Meara (Poughkeepsie, NY)
Application Number: 10/808,691
Classifications
Current U.S. Class: 134/1.100; 134/18.000; 134/1.200; 156/345.430