Etching method

- TOKYO ELECTRON LIMITED

In an etching method, multiple etchings are sequentially performed in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed, without unloading the substrate to be processed from the vessel. Between the etchings, a cleaning processing for removing deposits from the processing vessel by using a plasma of a cleaning gas is performed. The cleaning gas is O2 containing gas, and preferably, a gaseous mixture of O2 and N2 gas. Further, the cleaning processing is performed under conditions of 50˜200 mTorr in the processing vessel; 5˜15 mL/min of O2 flow rate; and 100˜400 mL/min of N2 flow rate. The method prevents etching characteristics from being affected due to a memory effect, while offering the advantages of an all-in-one etching.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
FIELD OF THE INVENTION

The present invention relates to an etching method for etching on a substrate, e.g., a semiconductor substrate or the like, by performing a plasma processing.

BACKGROUND OF THE INVENTION

When etching a laminated film of an SiN film, SiO2 film, SiLK film and the like, which is formed on a substrate, e.g., a semiconductor substrate or the like, etching process conditions depend on the type of film being etched. Hence, to perform etching of different layers, conventional etching demands that each layer be etched in a different processing vessel (chamber). However, since this method requires handling of the substrate to different processing vessels, multiple processing vessels need to be provided. Further, the overall system size becomes large, and an improvement of throughput is hard to achieve. To overcome the disadvantages, there has been proposed an all-in-one etching processing which allows etchings of different layers to be performed in one processing vessel, without using multiple single-purpose processing vessels (e.g., reference 1).

Reference 1: Japanese Patent Laid-open Application No. 2003-309107 (claim 2 and the like)

The above all-in-one etching system offers advantages in that the overall size of the apparatus becomes smaller and the system's throughput is improved. However, since etchings with different process conditions are repeatedly performed in one processing vessel, the vessel is subjected to multiple etching conditions. As a result, the conditions of an etching processing affect successive etchings performed in the vessel due to the memory effect in the vessel. Ultimately, successive etching characteristics are affected and modified by earlier etchings performed in the vessel, as compared to cases where etchings with different process conditions are performed individually in multiple single-purpose vessels.

SUMMARY OF THE INVENTION

The present invention has been made under such a background. It is, therefore, an object of the present invention to provide an etching method capable of preventing etching characteristics from being affected due to a memory effect, while offering the advantages of an all-in-one etching.

In accordance with a preferred embodiment of the present invention, there is provided an etching method for sequentially performing multiple etchings in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed, without unloading the substrate to be processed from the vessel, wherein, between the etchings, a cleaning processing for removing deposits from the processing vessel by using a plasma of a cleaning gas is performed.

In accordance with another preferred embodiment of the present invention, there is provided an etching method for sequentially performing multiple etchings in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed in an order of a first film and a second film from a top, without unloading the substrate to be processed from the vessel, the method including the steps of: performing a first etching of the first film by using a plasma of a first etching gas; performing a cleaning processing for removing deposits from the processing vessel by using plasma of a cleaning gas after the first etching; and performing a second etching of the second film by using a plasma of a second etching gas after the cleaning.

In accordance with still another preferred embodiment of the present invention, there is provided an etching method for sequentially performing multiple etchings by using a patterned mask film as a mask in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed in an order of a first insulating film and a second insulating film from a top, without unloading the substrate to be processed from the vessel, the method including the steps of: performing a first etching of the first insulating film by using a plasma of a first etching gas; performing a cleaning processing for removing deposits from the processing vessel by using a plasma of a cleaning gas after the first etching; and performing a second etching of the second insulating film by using a plasma of a second etching gas after the cleaning,

    • wherein, in the first and the second etchings, bias powers are applied to the substrate to be processed; and, in the cleaning processing, a bias power is not applied to the substrate to be processed.

BRIEF DESCRIPTION OF THE DRAWINGS

The above and other objects and features of the present invention will become apparent from the following description of preferred embodiments given in conjunction with the accompanying drawings, in which:

FIG. 1 offers a schematic cross sectional view of a plasma processing apparatus for etching in accordance with the present invention;

FIGS. 2A to 2C show schematic diagrams showing the progressive status of a chamber and a semiconductor wafer as etching is performed in accordance with a first embodiment of the present invention; FIG. 2A shows the status before first etching step; FIG. 2B shows the status after first etching step; and FIG. 2C shows the status after second etching step;

FIGS. 3A to 3C show schematic diagrams showing the progressive status of a chamber and a semiconductor wafer as etching is performed in accordance with a second embodiment of the present invention; FIG. 3A shows the status before first etching step; FIG. 3B shows the status after first etching step; and FIG. 3C shows the status after second etching step;

FIG. 4 shows a graph representing etched amount of a semiconductor wafer in accordance with a first example;

FIG. 5 shows a graph representing etched amount of a semiconductor wafer for reference;

FIG. 6 shows a graph representing etched amount of a semiconductor wafer for comparison;

FIG. 7 shows a graph representing the relationship between the amount of etched resist and the cleaning time as pressure is varied;

FIG. 8 shows a graph representing the relationship between the amount of etched resist and the cleaning time as flow rate of N2 is varied, and

FIG. 9 shows a graph representing the relationship between the amount of etched resist and the cleaning time as flow rate of O2 is varied.

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS

Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

FIG. 1 is a cross sectional view showing schematically a preferred plasma processing apparatus for carrying out the method of the present invention. The plasma processing apparatus 1 is configured as a capacitively coupled parallel plate type plasma processing apparatus, wherein upper and lower electrode plates face each other in parallel, and a power supply for producing a plasma is connected to one of the electrodes.

The plasma processing apparatus 1 has a cylindrical chamber 2 made of aluminum whose surface is alumite treated (anodically oxidized), wherein the chamber 2 is grounded. In a bottom portion of the chamber 2, there is provided an approximately cylindrical susceptor support 4 for mounting thereon an object to be processed, e.g., a semiconductor wafer (hereinafter, referred to as ‘wafer’), through an insulating plate 3 such as a ceramic or the like; and a susceptor 5 forming a lower electrode is installed on the susceptor support 4. A high pass filter (HPF) 6 is connected to the susceptor 5.

Inside the susceptor support 4, there is provided a coolant chamber 7, into which coolant, e.g., liquid nitrogen or the like, is introduced to circulate therein through a coolant introducing line 8; and cold heat thereof is thermally transferred to the wafer W through the susceptor 5, to thereby set the surface temperature of the wafer W at a desired level.

The susceptor 5 has a circular plate shape with a protrusion in an upper central portion, on which an electrostatic chuck 11 having a substantially same shape to the wafer W is installed. The electrostatic chuck 11 has an electrode 12 intervened between insulating materials; and a DC voltage of, e.g., 1.5 kV, is applied to the electrostatic chuck 11 from a DC power supply 13 connected to the electrode 12, to thereby electrostatically adsorb the wafer W by, e.g., Columbic force.

Further, a gas channel 14 runs through the insulating plate 3, susceptor support 4, susceptor 5 and electrostatic chuck 11, to thereby supply a heat transfer medium, e.g., He gas or the like, to the rear surface of the wafer W as an object to be processed; and cold heat of the susceptor 5 is transferred to the wafer W through the heat transfer medium to maintain the wafer W at a predetermined temperature.

At an upper peripheral portion of the susceptor 5, an annular focus ring 15 is disposed to surround the wafer W mounted on the electrostatic chuck 11. The focus ring 15 is made of conductive material such as silicon or the like, and the focus ring improves etching uniformity.

Above the susceptor 15, an upper electrode 21 is installed to face the susceptor 5 in parallel. The upper electrode 21 is supported at an upper portion of the chamber 2 via an insulating member 22 to face the susceptor 5. The electrode 21 is composed of an electrode plate 24 and an electrode support 25. The electrode plate 24 has multiple injection holes 23 and is made of, e.g., silicon, SiC or amorphous carbon. The electrode support 25 is made of conductive material, e.g., aluminum whose surface is alumite-treated, for supporting the electrode plate 24. Further, the susceptor 5 and the upper electrode 21 are set apart by, e.g., about 10˜60 mm. Still further, as for the electrode plate 24, it is preferable to use a silicon that is capable of scavenging as for the aforementioned materials.

At the center of the electrode support 25 in the upper electrode 21, there is provided a gas inlet port 26, to which a gas supply line 27 is connected. Further, the gas supply line 27 is connected to a processing gas supply system through a valve 28. In the present embodiment, the processing gas supply system is formed of a C5F8/Ar/O2 supply source 52 as a first etching gas supply unit; a CH2F2/Ar/O2 supply source 53 as a second etching gas supply unit; and an N2/O2 supply source 54 as a cleaning gas supply unit, all of which are connected to valves 30 and mass flow controllers (MFC) 29, respectively.

As for etching gas, various gases that have been conventionally used for etching can be used. A gas with halogen, e.g., a CF based gas (flouorocarbon gas: CxFy), can be properly used. If wafer W has an SiN film and then an SiO2 film thereon and etching is performed on the SiO2 film, it is preferable to use a processing gas containing C4F8 or C5F8. If C5F8 is used, O2 is preferably added. In that case, it is preferable that the partial pressure of C5F8 is in the range of 0.5˜2 mTorr, and its ratio, i.e., O2/C5F8, is in the range of ⅝˜⅞. If a processing gas contains C4F8 or otherwise C5F8 and O2, a rare gas species such as Ar, He and the like, or N2 may be added. Further, other CF based gas (CxFy) or CHF based gas (hydrofluorocarbon: CpHqFr) can be added.

Further, if etching is performed on the SiN film, it is preferable that CHF based gas, e.g., CH2F2 containing gas or the like, is used. In this case, O2 is preferably added as well. Additionally, a rare gas species such as Ar, He and the like, or N2 may be added. As for a preferred example of CHF based gas, a gaseous mixture of CH2F2, Ar and O2 can be used.

At the bottom portion of the chamber 2, the chamber is connected to a gas exhaust line 31, to which a gas exhaust unit 35 is connected. The gas exhaust unit 35 has a vacuum pump such as a turbo molecular pump or the like, to vacuum-exhaust the inside of the chamber 2 until it reaches a predetermined depressurized level, e.g., 1 Pa or less. Further, at a sidewall of the chamber 2, there is provided a gate valve 32; and the wafer W is allowed to be transferred to a neighboring load-lock chamber (not shown) while the gate valve 32 is opened.

A first high frequency power supply 40 is connected to the upper electrode 21, and a matching unit 41 is installed in a feeder line thereof. Further, a low pass filter (LPF) 42 is connected to the upper electrode 21. The first high frequency power supply 40 has a frequency in the range of 50˜150 MHz. By applying such a high frequency, it is possible to generate a high-density plasma in a preferred dissociation state in the chamber 2, and thus a plasma processing may be performed at low pressure. It is preferable that the frequency of the first high frequency power supply 40 is in the range of 50˜80 MHz, and typically, it is set at 60 MHz or so.

A second high frequency power supply 50 is connected to the susceptor 5 as a lower electrode, and a matching unit 51 is installed in a feeder line thereof. The second high frequency power supply 50 has a frequency in the range of 1˜4 MHz. By applying such a range of frequency, it is possible to apply a proper ionic action to the wafer W as an object to be processed without damaging it. It is preferable that a frequency of the second high frequency power supply 50 is in the range of 1˜3 MHz, and typically, it is set at 2 MHz or so.

Next, etching such as an oxide film formed on the wafer W, in the plasma processing apparatus 1 as configured above is discussed. First, the wafer W as an object to be processed is loaded into the chamber 2 from the load-lock chamber (not shown) to be mounted on the electrostatic chuck 11, after the gate valve 32 is opened. Further, a DC voltage is applied from the DC power supply 13 to electrostatically adsorb the wafer W on the electrostatic chuck 11. Subsequently, the gate valve 32 is closed, and the inside of the chamber 2 is vacuum-exhausted at a predetermined vacuum level by the gas exhaust unit 35.

Thereafter, the valve 28 is opened, so that a processing gas, e.g., a gaseous mixture of C5F8, Ar and O2 (Ar and O2 are for dilution), is introduced from the processing gas supply unit into a hollow portion of the upper electrode 21 through the processing gas supply line 27 and the gas inlet port 26, while a flow rate thereof is adjusted by the mass flow controller 29; and it is uniformly supplied through the injection holes 23 of the electrode plate 24 towards the wafer W, as indicated by arrows shown in FIG. 1.

Further, the pressure of the chamber 2 is maintained at a predetermined level. In this case, it is preferable that the pressure is 1.06˜13.3 Pa. After that, a high frequency power of 50˜150 MHz, e.g., 60 MHz, is applied to the upper electrode 21 from the first high frequency power supply 40. Accordingly, a high frequency electric field is generated between the upper electrode 21 and the susceptor 5 as a lower electrode, and thus, the processing gas is dissociated to form a plasma.

Meanwhile, a high frequency power of 1˜4 MHz, e.g., 2 MHz, is applied to the susceptor 5 as a lower electrode from the second high frequency power supply 50. Accordingly, ions in the plasma are attracted towards the susceptor 5, and as a result, a high etching anisotropy is achieved due to ion-assist.

In the cleaning procedure, cleaning gas instead of etching gas turns into a plasma in the plasma processing apparatus 1, and this is preferable. For example, a plasma processing may be performed in such a manner that the valve 30 connected to the N2/O2 supply source 54 is opened; and an N2/O2 as a cleaning gas is introduced into the hollow portion of the upper electrode 21 through the processing gas supply line 27 and the gas inlet port 26 while the flow rate thereof is adjusted by the mass flow controller 29, and thus, it being uniformly supplied towards the wafer W through the injection holes 23 of the electrode plate 24, as indicated by arrows shown in FIG. 1.

Hereinafter, the process conditions of the cleaning procedure will be discussed. The cleaning procedure is performed under a zero bias condition where a high frequency power is not supplied from the second high frequency power supply 50 to the susceptor 5 as a lower electrode, and a bias power is not applied to the wafer W. By performing the cleaning procedure under a zero bias condition, it is possible to keep any effects on a mask film or a base film to a minimum, and to perform the cleaning without affecting the wafer W.

Further, when performing the cleaning procedure, it is preferable that the gap between the susceptor 5 and the upper electrode 21 is set large (e.g., about 60 mm), as compared to the gap during etching, in order to avoid any effects on the wafer W.

Preferably, the cleaning gas may be a gas containing at least O2 gas (i.e., an O2 containing gas). For example, there may be preferably used a gaseous mixture of O2 and N2 gas, a gaseous mixture of O2 and rare gas (Ar or He or the like), or a gaseous mixture of O2 and CO gas, etc. Among the O2 containing gases, it is most preferable that the gaseous mixture of O2 gas and N2 gas is employed. If a first film as an etching target is a silicon oxide film such as SiO2 or the like, the etching is commonly performed by using a halogen containing gas such as C4F8, C5F8 or the like, so that a halogen compound is deposited in the chamber. Such a deposit is readily removed by using a gaseous mixture containing N2 and O2 as cleaning gas.

If a processing is performed by using a gaseous mixture of N2 and O2, it is preferable that pressure of the processing vessel is about 50˜200 mTorr; the flow rate of O2 is about 5˜15 mL/min (=sccm); and the flow rate of N2 is about 100˜400 mL/min, as described below.

The endpoint of cleaning may be detected by, e.g., a plasma emission monitor (not shown). Since fluorine has a peak intensity at 703.8 nm, the cleaning may be performed until the wavelength of fluorine is not detected while being continuously monitored.

Next, a preferred embodiment of an etching method in accordance with the present invention will be explained with reference to FIGS. 22C and 33C.

FIGS. 2A to 2C present views schematically showing cross sectional status of the chamber 2 and the substrate to be processed in the etching processing. FIGS. 2A to 2C correspond to a case where a film to be etched is an insulating film made of an inorganic material, wherein, on substrate 61 forming the wafer W, stacked from the top surface are: a photosensitive resist film 64, a silicon oxide film 63 as a first film to be etched, and a silicon nitride film 62 as a second film. As described above, the insulating film as an etching target layer may be a silicon oxide film, e.g., SiO2 or the like, or a silicon nitride film such as SiN or the like. Further, in the present embodiment, the mask film is the photosensitive resist film 64 made of an organic material, but it may be a hard mask made of an inorganic material.

As described in FIG. 2A, the photosensitive resist film 64, as the outermost layer, is exposed and developed to have a predetermined pattern. In a first etching, the silicon oxide film 63 is etched by using the photosensitive resist film 64 as a mask.

After the first etching, the deposits 70 remain on the inner wall surface of the chamber 2, as shown in FIG. 2B. If a second etching processing is performed in such a state where the deposits 70 are formed in the chamber 2 as above, the conditions of the second etching processing is affected and therefore changed due to a memory effect, and thus it is difficult to achieve a satisfactory etching. For the same reason, cleaning is performed to remove the deposits 70. By removing the deposits 70 from the chamber by performing the cleaning, this memory effect can be reduced.

After the cleaning procedure, a second etching processing is performed. In the second etching processing, as described in FIG. 2C, the silicon nitride film 62 is etched by using the photosensitive resist film 64 and the silicon oxide film 63 as a mask. As mentioned above, in the second etching step, the silicon oxide film 63 of the first film as well as the photosensitive resist film 64 can serve as a mask film.

Etching of the silicon nitride film 62 may be performed under a stable condition, without being affected by the deposits 70, since the deposits 70 produced by the etching of the silicon oxide film 63 have been removed from the chamber by performing the cleaning procedure.

FIGS. 3A to 3C show cross sectional configurations of the substrate to be processed in the etching processings, in accordance with a second embodiment of the present invention. Here, illustrated therein are cases where a film to be etched is composed of a silicon oxide film (made of an inorganic material) and an organic film.

Namely, on a substrate 61 forming the wafer W, there are stacked, from the top surface, a photosensitive resist film 67, silicon oxide film 66 as a first film to be etched, and organic film 0.65 as a second film. The silicon oxide film as an etching target layer may be an insulating film such as SiO2 or the like, same as above. As for the organic film, it can be used a low dielectric interlayer insulating film (Low-k film), e.g., SiLK (trademark name: Dow chemical made); MSQ of SOD-SiOCH (methyl silsesquioxane); CORAL of CVD-SiOCH (trademark name: Novellus Systems made); or Black Diamond (trademark name: Applied Materials made).

As described in FIG. 3A, the photosensitive resist film 67 as an outermost layer is exposed and developed to have a predetermined pattern. In a first etching, the silicon oxide film 66 is etched by using the photosensitive resist film 67 as a mask.

After the first etching processing, the deposits 70 are formed on the inner wall surface of the chamber 2, as shown in FIG. 3B. Hence, cleaning of the chamber 2 is performed to remove the deposits 70.

After the cleaning processing, a second etching processing is performed. In the second etching processing, as shown in FIG. 3C, the organic film 65 is etched by using the photosensitive resist film 67 and the etched silicon oxide film 66 as a mask. As mentioned above, in the second etching processing, the silicon oxide film 66 of the first film as well as the photosensitive resist film 67 can serve as a mask film.

If the second film is an organic film, it is preferable that, e.g., a gaseous mixture of N2 and H2, an NH3 gas, a gaseous mixture of N2 and O2, or the like may be used as etching gas. The etching of the organic film 65 can be performed under a stable condition, without being affected by the deposits 70, since the deposits 70 produced by the etching of the silicon oxide film 66 have been removed from the chamber by performing the earlier cleaning.

In FIGS. 22C and 33C, it is illustrated a case where an etching target layer as an object to be etched is two-layered. However, even if the etching target layer is composed of three-layers or more, the same effect may be obtained by performing a cleaning processing in the processing vessel after each etching, and then etching in the vessel, in the same manner.

Hereinafter, the present invention will be explained more specifically by illustrating examples, but it is not limited thereto.

EXAMPLE 1

Etching processing in accordance with the present invention:

In accordance with the present invention, an etching processing was performed on a wafer W of silicon substrate, on which, following layers are stacked from the top: a mask film (a photosensitive resist film); an SiO2 film (a thermal oxide film; 300 nm) as first film to be etched; and an SiN film (a plasma Si3N4 film; 50 nm) as second film.

In first etching processing, high frequency powers of 1500 W and 1700 W were applied to the upper electrode 21 and the susceptor 5, respectively; pressure in the chamber was set at 30 mTorr, and the gap between the susceptor 5 and the upper electrode 21 was set at 30 mm; a gaseous mixture of C5F8, Ar and O2 was used as etching gas; and gas flow rate (mL/min) ratio was set C5F8:Ar:O2=15:800:17 to perform etching. Further, the volume of the chamber used was 80 litters.

After the first etching, a zero bias cleaning was carried out. That is, a power was not applied to the susceptor 5 while a high frequency power of 500 w was applied to the upper electrode 21; pressure in the chamber was set at 100 mTorr and the gap between the susceptor 5 and the upper electrode 21 was set at and 60 mm; a gaseous mixture of N2 and O2 was used as cleaning gas; and gas flow rate (mL/min) ratio was set N2:O2=100:10 to perform cleaning. The gap between the susceptor 5 and the upper electrode 21 is set large so as to have minimal effects on the wafer W. The endpoint of the cleaning was detected by a plasma emission monitor.

After the cleaning step was completed second etching processing was carried out in the same chamber. In the second etching processing, high frequency powers of 2000 w and 100 W were applied to the upper electrode 21 and the susceptor 5, respectively; pressure in the chamber was set at 50 mTorr, and the gap between the susceptor 5 and the upper electrode 21 was set at and 55 mm; a gaseous mixture of CH2F2, Ar and O2 was used as etching gas; and gas flow rate (mL/min) ratio was set CH2F2:Ar:O2=20:100:15 to perform etching.

The state of the wafer W after etching is shown in FIG. 4. The vertical axis in FIG. 4 is etched amount; and the horizontal axis is distances from the center (zero scale) of the wafer W to each of end portions in X and Y directions.

Further, as an example for reference purpose, the state of the wafer W is shown in FIG. 5 when the second etching was performed in a different chamber from the first etching.

COMPARATIVE EXAMPLE 1

An etching was performed under the same conditions as in Example 1, except that the cleaning was not performed. The state of the wafer W after the etching processing is shown in FIG. 6.

As can be seen clearly from the comparison between FIGS. 4 to 6, the wafer W of Example 1, on which the cleaning was performed, was etched as uniformly as in the reference example (FIG. 5) wherein etching was performed in different chambers. Meanwhile, in the all-in-one etching of Comparative example 1 (FIG. 6) wherein the cleaning was not performed, etched amount decreased in the central portion of the wafer W, and uniform etching was not achieved. The memory effect of etching the SiO2 film is considered to have produced this difference in etching conditions for the SiN film.

EXAMPLE 2

Examination on Cleaning Condition (Pressure):

A cleaning procedure was performed under the same conditions as in Example 1, except that pressure is changed in the range of 10˜200 mTorr. The results are shown in FIG. 7. If the pressure is in the range of 50˜200 mTorr, the chamber cleaning time is short, and also the resist etching rate is low. Therefore, the amount of resist etched during the cleaning procedure is small and satisfactory. This result can be obtained stably in the pressure range of 100˜200 mTorr, specifically. Accordingly, the above range is found to be most preferable.

EXAMPLE 3

Examination on Cleaning Condition (Flow Rate of N2):

A cleaning procedure was performed under the same conditions as in Example 1, except that flow rate of O2 is fixed at 10 mL/min and that of N2 is changed in the range of 50˜400 mL/min. The results are shown in FIG. 8.

From FIG. 8, if flow rate of N2 is less than 100 mL/min, the relative fraction of O2 is increased (e.g., when the flow rate of N2 is 50 mL/min, O2/(N2+O2)=17%). Thus, the chamber cleaning time is decreased, but the resist etching rate is significantly increased. As a result, the amount of etched resist is also increased even though the overall cleaning time is made shorter. On the contrary, if the flow rate of N2 is equal to or greater than 200 mL/min, the chamber cleaning time and the resist etching rate were decreased in a substantially same manner. As a result, the amounts of resist etched were substantially constant and satisfactory in the N2 flow rate range of 100˜400 mL/min. When the flow rate of N2 are 200 mL/min and 400 mL/min, O2/(N2+O2) were 4.8% and 2.4%, respectively.

EXAMPLE 4

Examination on Cleaning Condition (Flow Rate of O2):

A cleaning processing was performed under the same conditions as in Example 1, except that flow rate of N2 is fixed at 100 mL/min and that of O2 is changed in the range of 5˜15 mL/min. The results are shown in FIG. 9.

From FIG. 9, it is understood that if the flow rate of O2 is reduced to, e.g., 5 mL/min, so that the ratio of O2 is decreased [O2/(N2+O2)=4.8%], the resist etching rate is not significantly decreased. However, since the chamber cleaning time is increase, the resulting amount of etched resist is increased.

Contrary to this, if flow rate of O2 is increased (e.g., 10 mL/min, so O2/(N2+O2)=9%; and, 15 mL/min, so ° 2/(N2+O2)=13%), the chamber cleaning time is significantly shortened. Accordingly, even if the etching rate of the resist is somewhat increased, the etched resist amount is consequently decreased because the cleaning time became significantly shorter.

Further, if the conditions of Example 3 (FIG. 8), wherein the fractions of O2 are 4.8% and 2.4%, are compared with those of FIG. 9, wherein the fraction of O2 is 4.8%, even though the O2 fractions are the same or lower in the former conditions than the latter, the chamber cleaning time is shortened and the amounts of etched resist are small and satisfactory. The reason is believed that since the total amount of gas to be supplied is large, the cleaning gas is efficiently diffused over the whole inner wall of the chamber, onto which deposits build up, and reaction products from the wall surface are efficiently exhausted.

From FIGS. 8 and 9, it is shown that a preferred O2 ratio is about 5˜15% (preferably, about 9˜15%) and a flow rate of total gas is about 200˜400 mL/min in the cleaning processing.

In accordance with an etching method of the present invention, it is possible to avoid changes in etching characteristics due to a memory effect while maintaining the benefits of all-in-one etching.

The etching method in accordance with the present invention may be employed in the fabrication of semiconductor devices.

While the invention has been shown and described with respect to the preferred embodiments, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention as defined in the following claims.

Claims

1. An etching method for sequentially performing multiple etchings in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed, without unloading the substrate to be processed from the vessel,

wherein, between the etchings, a cleaning processing for removing deposits from the processing vessel by using a plasma of a cleaning gas is performed.

2. An etching method for sequentially performing multiple etchings in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed in an order of a first film and a second film from a top, without unloading the substrate to be processed from the vessel, the method comprising the steps of:

performing a first etching of the first film by using a plasma of a first etching gas;
performing a cleaning processing for removing deposits from the processing vessel by using plasma of a cleaning gas after the first etching; and
performing a second etching of the second film by using a plasma of a second etching gas after the cleaning.

3. The etching method of claim 2, wherein, in the first etching, a silicon oxide film as the first film is etched by using the plasma of the first etching gas containing CF based gas; and

in the second etching, a silicon nitride film as the second film is etched by using the plasma of the second etching gas containing CHF based gas.

4. The etching method of claim 2, wherein, in the first etching, a silicon oxide film as the first film is etched by using the plasma of the first etching gas containing CF based gas; and

in the second etching, an organic film as the second film is etched by using the plasma of the second etching gas containing N2 or NH3 gas.

5. The etching method of claim 2, wherein, in the second etching, the first film serves as a mask film.

6. The etching method of claim 1 or 2, wherein the cleaning processing is performed without applying a bias power to the substrate to be processed.

7. The etching method of claim 1 or 2, wherein the cleaning gas is O2 containing gas.

8. The etching method of claim 7, wherein the O2 containing gas is a gaseous mixture of O2 and N2 gas.

9. The etching method of claim 8, wherein the cleaning processing is performed under conditions of 50˜200 mTorr in the processing vessel; 5˜15 mL/min of O2 flow rate; and 100˜400 mL/min of N2 flow rate.

10. An etching method for sequentially performing multiple etchings by using a patterned mask film as a mask in a single processing vessel on a laminated film having a plurality of layers formed on a substrate to be processed in an order of a first insulating film and a second insulating film from a top, without unloading the substrate to be processed from the vessel, the method comprising the steps of:

performing a first etching of the first insulating film by using a plasma of a first etching gas;
performing a cleaning processing for removing deposits from the processing vessel by using a plasma of a cleaning gas after the first etching; and
performing a second etching of the second insulating film by using a plasma of a second etching gas after the cleaning,
wherein, in the first and the second etchings, bias powers are applied to the substrate to be processed; and, in the cleaning processing, a bias power is not applied to the substrate to be processed.

11. The etching method of claim 10, wherein, in the first etching, a silicon oxide film as the first insulating film is etched by using the plasma of the first etching gas containing CF based gas; and

in the second etching processing, a silicon nitride film as the second insulating film is etched by using the plasma of the second etching gas containing CHF based gas.

12. The etching method of claim 10, wherein, in the first etching, a silicon oxide film as the first insulating film is etched by using the plasma of the first etching gas containing CF based gas; and

in the second etching, an organic film as the second insulating film is etched by using the plasma of the second etching gas containing N2 or NH3 gas.

13. The etching method of claim 10, wherein the cleaning gas is O2 containing gas.

14. The etching method of claim 13, wherein the O2 containing gas is a gaseous mixture of O2 and N2 gas.

15. The etching method of claim 14, wherein the cleaning processing is performed under conditions of 50˜200 mTorr in the processing vessel; 5˜15 mL/min of O2 flow rate; and 100˜400 mL/min of N2 flow rate.

Patent History
Publication number: 20050269294
Type: Application
Filed: Jun 8, 2005
Publication Date: Dec 8, 2005
Applicant: TOKYO ELECTRON LIMITED (Tokyo)
Inventors: Yoshiki Igarashi (Nirasaki-shi), Wakako Naito (Nirasaki-shi)
Application Number: 11/147,197
Classifications
Current U.S. Class: 216/67.000; 134/1.100