METHOD FOR PHOTOMASK FABRICATION UTILIZING A CARBON HARD MASK

Methods for forming a photomask using a carbon hard mask are provided. In one embodiment, a method of forming a photomask includes etching a chromium layer through a patterned carbon hard mask layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application is related to U.S. patent application Ser. No. 11/238,424, filed Sep. 28, 2005 (Attorney Docket No. APPM/10365), which is herein incorporated by reference in its entirety.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention generally relates to a method for plasma etching chromium. More specifically, the present invention provides a method for etching chromium layer through a carbon hard mask for photomask fabrication.

2. Description of the Related Art

In the manufacture of integrated circuits (IC), or chips, patterns representing different layers of the chip are created by a chip designer. A series of reusable masks, or photomasks, are created from these patterns in order to transfer the design of each chip layer onto a semiconductor substrate during the manufacturing process. Mask pattern generation systems use precision lasers or electron beams to image the design of each layer of the chip onto a respective mask. The masks are then used much like photographic negatives to transfer the circuit patterns for each layer onto a semiconductor substrate. These layers are built up using a sequence of processes and translate into the tiny transistors and electrical circuits that comprise each completed chip. Thus, any defects in the mask may be transferred to the chip, potentially adversely affecting performance. Defects that are severe enough may render the mask completely useless. Typically, a set of 15 to 30 masks is used to construct a chip and can be used repeatedly.

A mask is typically a glass or a quartz substrate that has a layer of chromium on one side. The mask may also contain a layer of silicon nitride (SiN) doped with molybdenum (Mb). The chromium layer is covered with an anti-reflective coating and a photosensitive resist. During a patterning process, the circuit design is written onto the mask by exposing portions of the resist to ultraviolet light, making the exposed portions soluble in a developing solution. The soluble portion of the resist is then removed, allowing the exposed underlying chromium to be etched. The etch process removes the chromium and anti-reflective layers from the mask at locations where the resist was removed, i.e., the exposed chromium is removed.

Another mask utilized for patterning is known as a quartz phase shift mask. The quartz phase shift mask is similar to the mask described above, except that alternating adjacent areas of quartz regions exposed through the patterned chromium layer are etched to a depth about equal to half the wavelength of light which will be utilized to transfer the circuit patterns to a substrate during fabrication. Thus, as the light is shown through the quartz phase shift mask to expose resist disposed on the substrate, the light impinging in the resist through one opening in the mask is 180 degrees out of phase relative to the light passing through the immediately adjacent opening. Therefore, light that may be scattered at the edges of the mask opening is cancelled out by the 180 degree light scattering at the edge of the adjacent opening, causing a tighter distribution of light in a predefined region of the resist. The tighter distribution of light facilitates writing of features having smaller critical dimensions. Similarly, masks used for chromeless etch lithography also utilize the phase shift of light passing through quartz portions of two masks to sequentially image the resist, thereby improving the light distribution utilized to develop the resist pattern.

In one etch process, known as dry etching, reactive ion etching, or plasma etching, plasma is used to enhance a chemical reaction and etch the patterned chromium area of the mask. Unfortunately, conventional chromium etch processes often suffer etch bias problems due to attack on the photoresist material utilized to pattern the chromium. As the photoresist is attacked during the chromium etch, the critical dimension of patterned photoresist is not accurately transferred to the chromium layer. Additionally, since etching using a photoresist mask is subject to etch bias, the use of photoresist masks for fabricating critical dimensions less than about 5 μm is extremely challenging to the fabricator as these problems result in non-uniformity of the etched features of the photomask and correspondingly diminishes the ability to produce features having small critical dimensions using the mask. As the critical dimensions of mask continue to shrink, the importance of etch uniformity dominates.

Hard masks have been recently used to provide more accurate critical dimension (CD) transfer during chromium etching for photomask fabrication. However, existing chromium etch processes have poor selectivity to carbon hard mask materials. As conventional chromium etch chemistries include oxygen, carbon hard mask materials are often etched as fast or faster than the chromium layers, resulting in unacceptable CD control, thus, making conventional chromium etch processes unsuitable for photomask fabrication.

Therefore, there is a need for a chromium etch process having high etching selectivity to carbon hard mask materials.

SUMMARY OF THE INVENTION

The present invention generally provides a method for etching a chromium layer using a carbon hard mask. In one embodiment, a method of forming a photomask includes providing a substrate having a patterned carbon hard mask disposed over a chromium layer and a quartz layer on a substrate support disposed in a processing chamber, introducing a process gas containing chlorine and carbon monoxide into the processing chamber, forming a plasma of the process gas, biasing the substrate, etching the chromium layer exposed through said patterned carbon hard mask to expose portions of the quartz layer, and removing the hard mask layer.

In another embodiment, a method of etching a chromium layer includes providing a substrate having a chromium layer partially exposed through a patterned carbon hard mask layer, flowing a process gas containing chlorine and carbon monoxide into a processing chamber, and maintaining a plasma of the process gas to etch the chromium layer though the patterned carbon hard mask layer.

BRIEF DESCRIPTION OF THE DRAWINGS

The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:

FIG. 1 is a schematic sectional view of one embodiment of an etch reactor suitable for etching a chromium layer;

FIG. 2 depicts one embodiment of a flow diagram for a method of fabricating a photomask in accordance with one embodiment of the present invention;

FIGS. 3A-3G depict a series of schematic, partial cross-sectional views of a film stack fabricated into a photomask accordance with the method of FIG. 2; and

FIG. 4 is a schematic diagram of one embodiment of a processing system, e.g., a cluster tool, including the reactor of FIG. 1.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

DETAILED DESCRIPTION

Embodiments of the present invention provide an improved chromium etching process and a method for photomask fabrication. The methods utilize a chromium etch chemistry which has high selectivity to carbon hard mask materials.

FIG. 1 depicts a schematic diagram of one embodiment of an etch processing chamber 100 in which a method of chromium etching of the present invention may be practiced. Suitable reactors that may be adapted for use with the teachings disclosed herein include, for example, the Decoupled Plasma Source (DPS®) II reactor, or the Tetra I and Tetra II Photomask etch systems, all of which are available from Applied Materials, Inc. of Santa Clara, Calif. The etch processing chamber 100 may also be used as a processing module of a processing system 170 as shown in FIG. 4, such as, for example, a Centura® integrated semiconductor wafer processing system, also available from Applied Materials, Inc. The processing system may also include a first chamber 172 suitable for ashing and a second chamber suitable for polymer deposition 174. Examples of suitable ashing and deposition chambers include AXIOM HT™ and Tetra II processing chambers, also available from Applied Materials, Inc. The particular embodiment of the processing chamber 100 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.

The processing chamber 100 generally comprises a process chamber body 102 having a substrate pedestal 124, and a controller 146. The chamber body 102 has a conductive wall 104 that supports a substantially flat dielectric ceiling 108. Other embodiments of the processing chamber 100 may have other types of ceilings, e.g., a dome-shaped ceiling. An antenna 110 having one or more inductive coils, such as two co-axial elements 110A and 110B, is disposed above the ceiling 108. The coaxial elements 110A and 110B may be selectively controlled. The antenna 110 is coupled through a first matching network 114 to a plasma power source 112. The plasma power source 112 is typically capable of producing up to about 3000 Watts (W) at a tunable frequency in a range from about 50 kHz to about 13.56 MHz. In one embodiment, the plasma power source 112 provides about 100 to about 600 W of inductively coupled RF power, and in another embodiment, the plasma power source 112 provides about 250 to about 600 W of inductively coupled RF power.

The substrate pedestal (cathode) 124 is coupled through a second matching network 142 to a biasing power source 140. The biasing source 140 provides between about zero to about 600 W at a tunable pulse frequency in the range of about 1 to about 10 kHz. The biasing source 140 is capable of producing a pulsed RF power output. Alternatively, the biasing source 140 may produce a pulsed DC power output. It is contemplated that the source 140 may also be configured to provide a constant DC and/or RF power output.

In one embodiment, the biasing source 140 is configured to provide pulsed RF power less than about 600 Watts at a frequency between about 1 to about 10 kHz, with a duty cycle between about 10 to about 95 percent. In another embodiment, the biasing source 140 is configured to provide pulsed RF power between about 10 to about 150 W, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. In yet another embodiment, the biasing source provides a pulsed RF power of about 10 W.

In one embodiment configured as a DPS® reactor, the substrate support pedestal 124 includes an electrostatic chuck 160. The electrostatic chuck 160 comprises at least one clamping electrode 132 and is controlled by a chuck power supply 166. In alternative embodiments, the substrate pedestal 124 may comprise substrate retention mechanisms such as a susceptor clamp ring, a mechanical chuck, and the like.

A gas panel 120 is coupled to the processing chamber 100 to provide process and/or other gases to the interior of the chamber body 102. In the embodiment depicted in FIG. 1, the gas panel 120 is coupled to one or more inlets 116 formed in a channel 118 in the sidewall 104 of the chamber body 102. It is contemplated that the one or more inlets 116 may be provided in other locations, for example, in the ceiling 108 of the processing chamber 100.

In one embodiment, the gas panel 120 is adapted to provide process gas through the inlets 116 and into the interior of the chamber body 102. During processing, a plasma is formed of the process gas in the chamber body 102 and maintained through inductive coupling of power from the plasma power source 112. The plasma may alternatively be formed remotely or ignited by other methods. In one embodiment, the process gas provided from the gas panel 120 includes at least one chlorine containing gas and carbon monoxide (CO) gas. Examples of chlorine containing gases include Cl2, BCl3, and HCl, among others.

The pressure in the processing chamber 100 is controlled using a throttle valve 162 and a vacuum pump 164. The vacuum pump 164 and throttle valve 162 are capable of maintaining chamber pressures in the range of about 1 to about 20 mTorr.

The temperature of the wall 104 may be controlled using liquid-containing conduits (not shown) that run through the wall 104. Wall temperature is generally maintained at about 65 degrees Celsius. Typically, the chamber wall 104 is formed from a metal (e.g., aluminum, stainless steel, and the like) and is coupled to an electrical ground 106. The processing chamber 100 also comprises conventional systems for process control, internal diagnostic, end point detection, and the like. Such systems are collectively shown as support systems 154.

A reticle adapter 182 is used to secure a substrate (such as a reticle or other workpiece) 122 onto the substrate support pedestal 124. The reticle adapter 182 generally includes a lower portion 184 milled to cover an upper surface of the pedestal 124 (for example, the electrostatic chuck 160) and a top portion 186 having an opening 188 that is sized and shaped to hold the substrate 122. The opening 188 is generally substantially centered with respect to the pedestal 124. The adapter 182 is generally formed from a single piece of etch resistant, high temperature resistant material such as polyimide ceramic or quartz. A suitable reticle adapter is disclosed in U.S. Pat. No. 6,251,217, issued on Jun. 26, 2001, and incorporated herein by reference. An edge ring 126 may cover and/or secure the adapter 182 to the pedestal 124.

A lift mechanism 138 is used to lower or raise the adapter 182, and hence, the substrate 122, onto or off of the substrate support pedestals 124. Generally, the lift mechanism 162 comprises a plurality of lift pins (one lift pin 130 is shown) that travel through respective guide holes 136.

In operation, the temperature of the substrate 122 is controlled by stabilizing the temperature of the substrate pedestal 124. In one embodiment, the substrate support pedestal 124 comprises a heater 144 and an optional heat sink 128. The heater 144 may be one or more fluid conduits configured to flow a heat transfer fluid therethrough. In another embodiment, the heater 144 may include at least one heating element 134 that is regulated by a heater power supply 168. Optionally, a backside gas (e.g., helium (He)) from a gas source 156 is provided via a gas conduit 158 to channels that are formed in the pedestal surface under the substrate 122. The backside gas is used to facilitate heat transfer between the pedestal 124 and the substrate 122. During processing, the pedestal 124 may be heated by the embedded heater 144 to a steady-state temperature, which in combination with the helium backside gas, facilitates uniform heating of the substrate 122.

The controller 146 comprises a central processing unit (CPU) 150, a memory 148, and support circuits 152 for the CPU 150 and facilitates control of the components of the processing chamber 100 and, as such, of the etch process, as discussed below in further detail. The controller 146 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory 148 of the CPU 150 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The support circuits 152 are coupled to the CPU 150 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. The inventive method is generally stored in the memory 148 or other computer-readable medium accessible to the CPU 150 as a software routine. Alternatively, such software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 150.

FIG. 2 depicts one embodiment of a flow diagram for a method 200 of fabricating a photomask 320 as shown in FIGS. 3A-G in accordance with one embodiment of the present invention. The method 200 is generally stored in the memory 148, typically as a software routine. Although the method 200 of the present invention is discussed as being implemented as a software routine, some or all of the method steps that are disclosed therein may be performed in hardware as well as by the software controller. As such, the invention may be implemented in software as executed upon a computer system, in hardware as an application specific integrated circuit or other type of hardware implementation, or a combination of software and hardware.

The method 200 begins at step 202 when substrate 122 is placed on a support pedestal 124. The substrate 122 generally comprises a film stack 300 of the photomask structure being fabricated. The film stack 300 includes an optically transparent silicon based material, such as quartz (i.e., silicon dioxide (SiO2)) layer 302, having an opaque light-shielding chromium layer 304, known as a photomask material, processed to form a patterned mask on the surface of the quartz layer 302. The chromium layer 304 may be chromium and/or chromium oxynitride. The substrate 122 may also include an attenuating layer 306 (shown in phantom), such as silicon nitride (SiN) doped with molybdenum (Mo) or Molybdenum silicon (MoSi), interposed between the quartz layer 302 and chromium layer 304, as shown in FIG. 3A.

At step 204, a carbon hard mask layer 308 is deposited on the substrate 122 utilizing a chemical vapor depositing process as shown in FIG. 3B. The carbon hard mask layer 308 has a thickness of, but not limited to, about 50 Å to about 1000 Å. The carbon hard mask layer 308 may be a high-temperature inorganic carbon material, referred to as a-carbon. In another embodiment, the mask layer 308 may be a material sold under trade name Advanced Patterning Film (APF ), by Applied Materials, Inc., located in Santa Clara, Calif.

In one embodiment, a carbon hard mask layer 308 is deposited by heating a gas mixture comprising one or more hydrocarbon compounds and an inert gas to thermally decompose the one or more hydrocarbon compounds and an inert gas to thermally decompose the one or more hydrocarbon compounds in the gas mixture to form an amorphous carbon layer. Suitable hydrocarbon compounds include gases having the general formula CxHy, wherein x has a range of 2 to 4 and y has a range of 2 to 10. The gas mixture may be heated to a temperature between about 100 to about 700° C. During deposition, a bias power may be configured to engineer the stress of the deposited material to improve adhesion of the hard mask layer 308 to the underlying film. Particularly, as the critical dimensions of the photomask approach the 45 nm range, poor adherence of the carbon hard mask layer 308 which promotes etch bias will result in unacceptable transfer of CDs from the masking materials to the photomask.

In one embodiment, the carbon hard mask layer 308 may include a dielectric anti-reflective coating (DARC) layer used to control the reflection of light during a lithographic patterning process. Typically, the DARC layer comprises silicon dioxide (SiO2), silicon oxynitride (SiON), silicon nitride (Si3N4), and the like. In one illustrative embodiment, a hard mask layer includes α-carbon film and a DARC layer having a combined thickness of about 1800 Angstroms.

At step 206, a resist layer 310 is patterned over the carbon hard mask layer 308, as commonly known in the art. The resist layer may be patterned by any suitable method.

At step 208, the carbon hard mask layer 308 is etched using the patterned photoresist mask 310 layer as an etch mask, as shown in FIG. 3D.

In one embodiment, the carbon hard mask layer 308 may be etched by providing hydrogen bromide (HBr) at a rate of 20 to 200 sccm, oxygen (O2) at a rate of 10 to 40 sccm (i.e., a HBr:O2 flow ratio ranging from 1:2 to 20:1), and argon (Ar) at a rate of 20 to 200 sccm, applying power to an inductively coupled antenna between 200 to 1500 W, applying a cathode bias power between 50 and 200 W, and maintaining a wafer temperature between 50 and 200 degrees Celsius at a pressure in the process chamber between 2 and 20 mTorr. One process recipe provides HBr at a rate of 60 sccm, O2 at a rate of 26 sccm, (i.e., a HBr:O2 flow ratio of about 2.3:1), and Ar at a rate of 60 sccm, applies 600 W of power to the antenna, 60 W of a bias power, maintains a wafer temperature of 80 degrees Celsius, and maintains a pressure of 4 mTorr.

At optional step 210, the photoresist mask 310 is removed from the carbon hard mask layer 308, as shown in FIG. 3E. In one embodiment, the photoresist mask 310 may be removed contemporarily with the etching of the carbon hard mask layer 308 at step 208. In another embodiment, the photoresist mask 310 may be removed in-situ the hard mask etch chamber by exposure to an oxygen containing plasma. The photoresist mask 310 may alternatively be left on the film stack 300. The photoresist 310 will eventually be consumed during subsequent etch processes, or be removed with the patterned carbon hard mask layer 308 at step 214 as described below.

At step 212, the chromium layer 304 is etched using the patterned carbon hard mask layer 308 as an etch mask. FIG. 3F depicts the film stack 300 with the chromium layer 304 etched. In one embodiment, the etch step 212 utilizes a plasma formed from a chlorine containing gas and carbon monoxide (CO) introduced into the process chamber 100. Exemplary chlorine containing gas may include one or more of Cl2, BCl3, and HCl, among others.

In another embodiment, the chromium layer 304 is etched at step 212 by providing C;2 at a rate of 50 to 1000 standard cubic centimeters per minute (sccm) and CO at a rate of 10 to 500 sccm. In yet another embodiment, the chromium layer 304 is etched by providing Cl2 at a rate of 20 to 400 sccm and CO at a rate of 100 to 300 sccm . One specific process recipe provides Cl2 at a rate of 300 sccm , CO at a rate of 150 sccm. The pressure in the process chamber is maintained at between 2 and 50 mTorr, and in one embodiment, between 2 and 10 mTorr. In yet another embodiment, the pressure is maintained at around 3 mTorr.

During the chromium layer etch step 212, a pulsed bias power is applied to the support pedestal 124 to bias the substrate 122. In a first example, the substrate 122 is biased with a pulsed RF power of less than about 100 W, and in a second example, the substrate 122 is biased with a pulsed RF of about 8 W. The bias power may be pulsed with a frequency and duty cycle as described above, for example, with a frequency in the range of about 1 to about 10 kHz, and with a duty cycle between about 10 to about 95 percent. The pulsed bias power may be DC and/or RF. In another embodiment, the biasing source 140 is provides pulsed RF power between about 5 to about 25 W, at a frequency between about 2 to about 5 kHz, with a duty cycle between about 80 to about 95 percent. In yet another embodiment, the biasing source provides a pulsed RF power of about 10 W.

During step 212, plasma, formed of the process gases, is maintained in the chamber 100 by applying RF power of between about 100 to about 1000 W from the plasma power source 112 to the antenna 110. In another example, the plasma is maintained by applying RF power of between 100 to about 500 W. In yet another embodiment, the RF power is applied at around 300 W. It is contemplated that the plasma may be ignited by any number of methods.

The chromium layer 304 exposed on the substrate 122 through the patterned carbon hard mask layer 308 is etched until an endpoint is reached. The endpoint may be determined by time, optical interferometry, chamber gas emission spectrograph or by other suitable methods.

At step 214, the carbon hard mask layer 308 is removed to form a photomask 320. In another embodiment, the carbon hard mask layer 308 may be removed in-situ the processing chamber 170 in which the etching step 212 was performed. For example, a carbon hard mask layer 308 may be removed by exposure to an oxygen containing plasma in-situ processing chamber 170 following the chromium layer etch. In another embodiment, the carbon hard mask layer 308 may be removed using a plasma formed from hydrogen bromide and oxygen. A HBr:O2 flow ratio may range between about 1:10 to 10:1. Argon may also be present in the plasma. In another embodiment, the plasma is energized with a source power of 20 to 1000 W and a bias power of 0 to 300 W, while the temperature of the substrate is maintained between about 20 to about 80 degrees Celsius. Alternatively, a plasma formed from hydrogen (H2) or a mixture of oxygen and a diluent gas such as argon (Ar) may be used to remove the carbon hard mask layer 308.

Thus, a method for etching chromium layer has been provided that advantageously improves critical dimension transfer by high selectivity of the chromium layer to the carbon hard mask layer. Accordingly, the method of etching a chromium layer with improved CD control described herein advantageously facilitates fabrication of photomask suitable for patterning features having small critical dimensions.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A method of fabricating a photomask, comprising:

depositing a carbon layer on an optically transparent substrate having a chromium layer;
depositing and patterning a photoresist layer on the carbon layer;
etching the carbon layer through the photoresist layer to form a patterned hard mask;
etching chromium layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide through the carbon hard mask; and
removing the hard mask.

2. The method of claim 1, wherein the process gas comprises at least one of Cl2, BCl3 or HCl.

3. The method of claim 1, wherein providing the process gas comprises:

flowing about 50 to about 1000 sccm of Cl2 into the processing chamber; and
flowing about 10 to about 500 sccm of CO into the processing chamber.

4. The method of claim 1, wherein providing the process gas comprises:

flowing about 20 to about 400 sccm of Cl2 into the processing chamber; and
flowing about 100 to about 300 sccm of CO into the processing chamber.

5. The method of claim 1 further comprising:

removing the patterned photoresist layer prior to etching the carbon layer.

6. The method of claim 1 further comprising:

removing the patterned photoresist layer while etching the chromium layer.

7. The method of claim 1 further comprising:

removing the patterned photoresist layer while removing the hard mask.

8. The method of claim 1, wherein the hard mask is removed in-situ a processing chamber in which the chromium layer is etched.

9. A method of fabricating a photomask, comprising:

depositing an α-carbon layer on an optically transparent substrate having a chromium layer;
depositing and patterning a photoresist layer on the a-carbon layer;
etching the a-carbon layer through the photoresist layer to form a patterned hard mask;
etching the chromium layer in the presence of a plasma formed from a process gas containing at least one chlorine containing gas and carbon monoxide through the carbon hard mask, wherein the substrate is biased during chromium etching; and
removing the hard mask.

10. The method of claim 9, wherein etching the chromium layer further comprises:

flowing at least one of Cl2, BCl3, or HCl into the processing chamber.

11. The method of claim 9, wherein etching the chromium layer further comprises:

flowing about 300 sccm of Cl2 into the processing chamber;
flowing about 150 sccm of CO into the processing chamber;
maintaining chamber pressure at around 3 mTorr;
applying a plasma source power at around 300 Watts; and
supplying a plasma bias power at around 8 Watts.

12. The method of claim 9 further comprising:

removing the patterned photoresist layer prior to etching the carbon layer.

13. The method of claim 9 further comprising:

removing the patterned photoresist layer while at least one of etching the chromium layer or removing the hard mask.

14. The method of claim 9, wherein etching the chromium layer further comprises:

flowing about 50 to about 1000 sccm of Cl2 into the processing chamber; and
flowing about 10 to about 500 sccm of CO into the processing chamber.

15. The method of claim 9, wherein etching the chromium layer further comprises:

flowing about 20 to about 400 sccm of Cl2 into the processing chamber; and
flowing about 100 to about 300 sccm of CO into the processing chamber.

16. The method of claim 9, wherein biasing the substrate during etching the chromium layer further comprises:

biasing the substrate with a power of less than 100 W.

17. The method of claim 16, wherein the biasing the substrate during etching the chromium layer further comprises:

pulsing the bias power.

18. The method of claim 9, wherein the hard mask is removed in-situ a processing chamber in which the chromium layer is etched.

19. A method of fabricating a photomask, comprising:

depositing an α-carbon layer on an optically transparent substrate having a chromium layer;
depositing and patterning a photoresist layer on the α-carbon layer;
etching the α-carbon layer through the photoresist layer to form a patterned hard mask;
etching the chromium layer in the presence of a plasma formed from a process gas containing carbon monoxide and at least one of Cl2, BCl3, or HCl through the carbon hard mask, wherein the substrate is biased with a power of less than 100 W during chromium etching; and
removing the hard mask.

20. The method of claim 19, wherein the hard mask is removed in-situ a processing chamber in which the chromium layer is etched.

Patent History
Publication number: 20080131789
Type: Application
Filed: Nov 30, 2006
Publication Date: Jun 5, 2008
Inventor: Ajay Kumar (Cupertino, CA)
Application Number: 11/565,271
Classifications
Current U.S. Class: Radiation Mask (430/5)
International Classification: G03F 1/00 (20060101);