METHOD AND APPARATUS FOR NORMALIZING THERMAL GRADIENTS OVER SEMICONDUCTOR CHIP DESIGNS

A method and apparatus for normalizing thermal gradients over semiconductor chip designs is provided. One embodiment of a novel method for normalizing an expected thermal gradient includes determining a location of the thermal gradient in the semiconductor chip design and inserting at least one supplemental heat source into the semiconductor chip design such that the thermal gradient is normalized by heat dissipated by the supplemental heat source.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. patent application Ser. No. 11/215,783, filed Aug. 29, 2005, which is a continuation-in-part of U.S. patent application Ser. No. 10/979,957, field Nov. 3, 2004. In addition, application Ser. No. 11/215,783 claims the benefit of U.S. Provisional Patent Application No. 60/605,889, filed Aug. 30, 2004.

FIELD OF THE INVENTION

The present invention generally relates to semiconductor chip design, and more particularly relates to the thermal analysis of semiconductor chip designs.

BACKGROUND OF THE INVENTION

Semiconductor chips typically comprise the bulk of the components in an electronic system. These semiconductor chips are also often the hottest part of the electronic system, and failure of the system can often be traced back to thermal overload on the chips. As such, thermal management is a critical parameter of semiconductor chip design.

FIG. 1 is a schematic diagram illustrating an exemplary semiconductor chip 100. As illustrated, the semiconductor chip 100 comprises one or more semiconductor devices 102a-102n (hereinafter collectively referred to as “semiconductor devices 102”), such as transistors, resistors, capacitors, diodes and the like deposited upon a substrate 104 and coupled via a plurality of wires or interconnects 106a-106n (hereinafter collectively referred to as “interconnects 106”). These semiconductor devices 102 and interconnects 106 share power, thereby causing a distribution of temperature values over the chip 100 that may range from 100 to 180 degrees Celsius in various regions of the chip 100.

In addition to large absolute temperatures, large variations in the thermal gradient over a semiconductor chip can cause the chip to fail in operation. Thus, accurate knowledge of the expected thermal gradient is critical in determining the layout of the chip. Unfortunately, though many methods exist for performing thermal analysis of semiconductor chips, such conventional methods typically fail to provide a complete or an entirely accurate picture of the chip's operating thermal gradient. For example, typical thermal analysis models attempt to solve the temperature on the chip substrate, but do not solve the temperature in a full three dimensions, e.g., using industry standards design, package and heat sink data. Moreover, most typical methods do not account for the sharing of power among semiconductor devices and interconnects, which distributes the heat field within the chip, as discussed above.

Therefore, there is a need in the art for a method and apparatus for normalizing thermal gradients over semiconductor chip designs.

SUMMARY OF THE INVENTION

A method and apparatus for normalizing thermal gradients over semiconductor chip designs is provided. One embodiment of a novel method for normalizing an expected thermal gradient includes determining a location of the thermal gradient in the semiconductor chip design and inserting at least one supplemental heat source into the semiconductor chip design such that the thermal gradient is normalized by heat dissipated by the supplemental heat source.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited embodiments of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a schematic diagram illustrating an exemplary semiconductor chip;

FIG. 2 is a schematic diagram illustrating one implementation of a thermal analysis tool according to the present invention;

FIG. 3 is a flow diagram illustrating one embodiment of a method for performing three-dimensional thermal analysis of a semiconductor chip design according to the present invention;

FIG. 4 is a graph illustrating the change in value of transistor resistance for an exemplary negative channel metal oxide semiconductor as a function of the output transition voltage;

FIG. 5 is a flow diagram illustrating one embodiment of a method for normalizing thermal gradients over a semiconductor chip design, according to the present invention;

FIG. 6 is a block diagram illustrating one exemplary embodiment of a semiconductor chip design that may be analyzed in accordance with the method of FIG. 5;

FIG. 7 is a schematic diagram illustrating one embodiment of a supplemental heat source according to the present invention; and

FIG. 8 is a high level block diagram of the present thermal gradient normalization tool that is implemented using a general purpose computing device.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.

DETAILED DESCRIPTION

Embodiments of the invention generally provide a method and apparatus for normalizing thermal gradients over semiconductor chip designs. One embodiment of the inventive method produces a full, three-dimensional solution of temperature values within a chip design, including power dissipation values distributed over semiconductor devices (e.g., transistors, resistors, capacitors, diodes and the like) and wire interconnects. Chip designers may use this knowledge to guide the placement of supplemental heat sources for normalizing (e.g., reducing the large variations in) thermal gradients over chip designs, thereby producing more robust semiconductor chips.

As used herein, the term “semiconductor chip” refers to any type of semiconductor chip, which might employ analog and/or digital design techniques and which might be fabricated in a variety of fabrication methodologies including, but not limited to, complementary metal-oxide semiconductor (CMOS), bipolar complementary metal-oxide semiconductor (BiCMOS), and gallium arsenide (GaAs) methodologies. Furthermore, as used herein, the term “semiconductor device” refers to a potential active heat dissipating device in a semiconductor chip, including, but not limited to, transistors, resistors, capacitors, diodes and inductors. The terms “wire”, “interconnect” or “wire interconnect” as used herein refer to any of various means of distributing electrical signals (which may be analog or digital, static or dynamic, logic signals or power/ground signals) from one place to another. “Interconnects” may be on a semiconductor chip itself, used in the packaging of the semiconductor chip, deployed between the semiconductor chip and the packaging, or used in a variety of other ways.

FIG. 2 is a schematic diagram illustrating one implementation of a thermal analysis tool 200 according to the present invention. As illustrated, the thermal analysis tool 200 is adapted to receive a plurality of inputs 202a-202g (hereinafter collectively referred to as “inputs 202”) and process these inputs 202 to produce a full-chip (e.g., three-dimensional) thermal model 204 of a proposed semiconductor chip design.

In one embodiment, the plurality of inputs 202 includes industry standard design data 202a-202f (e.g., pertaining to the actual chip design or layout under consideration) and library data 202g (e.g., pertaining to the semiconductor devices and interconnects incorporated in the design). In one embodiment, the industry standard design data includes one or more of the following types of data: electrical component extraction data and extracted parasitic data (e.g., embodied in standard parasitic extraction files, or SPEFs, 202a), design representations including layout data (e.g., embodied in Library Exchange Format/Design Exchange Format, or LEF/DEF files 202b, Graphical Design Format II, or GDSII, files 202c and/or text files 202d), manufacturer-specific techfiles 202e describing layer information and package models, user-generated power tables 202f including design data (e.g., including a switching factor, E(sw)). In one embodiment, this industry standard design data 202a-202f is stored in a design database such as an open access database or a proprietary database. In one embodiment, the library data 202g is embodied in a library that is distributed by a semiconductor part manufacturer or a library vendor. In another embodiment, the library incorporating the library data 202g can be built in-house by a user.

In one embodiment, the library data 202g includes transistor and diode models that are used to characterize the transistor resistances (Rdv) of the driver circuits, e.g., such as models available through Berkeley short-channel Insulated Gate Field Effect Transistor (IGFET) model (BSIM) models used by circuit simulators including Simulation Program with Integrated Circuit Emphasis (SPICE), HSPICE, commercially available from Synopsys, Inc. of Mountain View, Calif. and Heterogeneous Simulation Interoperability Mechanism (HSIM, commercially available from Nassda Corporation of Santa Clara, Calif.), all developed at the University of California at Berkeley.

As mentioned above, the plurality of inputs 202 are provided to the thermal analysis tool 200, which processes the data in order to produce a full-chip thermal model 204 of a proposed semiconductor chip design. In one embodiment, the full-chip thermal model is a three-dimensional thermal model.

Thus, as described above, embodiments of the present invention rely on library data representing the electrical properties of a semiconductor chip design (e.g., the resistance and capacitance at various points) and the manners in which these properties may vary with respect to each other and with respect to other phenomena (e.g., temperature or fabrication variations). Those skilled in the art will appreciate that these electrical properties may be specified or calculated in any number of ways, including, but not limited to, table-driven lookups, formulas based on physical dimensions, and the like.

FIG. 3 is a flow diagram illustrating one embodiment of a method 300 for performing full-chip thermal analysis of a semiconductor chip design according to the present invention. The method 300 may be implemented, for example, in the thermal analysis tool 200 illustrated in FIG. 2. In one embodiment, the method 300 relies on the computation of power dissipated by various semiconductor devices of the semiconductor chip design. As will be apparent from the following discussion, this power computation may be performed in any number of ways, including, but not limited to, table-driven lookups, computations based on electrical properties, circuit simulations, and the like. Moreover, those skilled in the art will appreciate that although the following description discusses the effects of resistance on power dissipation, power dissipation computations could be based on any number of other electrical properties or parameters, including, but not limited to, capacitance, inductance and the like. Moreover, the computations could be static or dynamic.

The method 300 is initialized at step 302 and proceeds to step 304, where the method 300 determines the collection of semiconductor devices (e.g., transistor, resistors, capacitors, diodes inductors and the like) and their resistances. In one embodiment, the method 300 determines this information by reading one or more of the chip layout data (e.g., in GDS 11, DEF and/or text format), layer and package model data (e.g., from one or more techfiles), and initial power and power versus temperature data for the semiconductor devices (e.g., from the library data). In one embodiment, initial power values and power values as a function of temperature may be recorded within a common power table for acceptable operating ranges for the driver circuits within the chip design. The driver circuits may be at semiconductor device level or at cell level, where cell level circuits represent an abstraction of interconnected semiconductor devices making up a known function.

In step 306, the method 300 uses the information collected in step 304 to calculate the time average resistance values for every semiconductor device in every driver circuit of the chip design, as well as for every diode junction. These time-average resistance values relate to changes in semiconductor device dimensions (e.g., such as using higher power transistors in place of lower power transistors in a chip design). In one embodiment, the time average resistance value, Raverage for a semiconductor device is calculated as:

R average = 0 t r R v ( t ) t t r ( EQN . 1 )

where tr is the output transition time of the driver circuit under consideration, e.g., as specified by the library data.

FIG. 4 is a graph illustrating the change in value of transistor resistance, Rdv for an exemplary negative channel metal oxide semiconductor (nMOS) as a function of the output transition voltage, Vdriverout. As illustrated, the power dissipated by a transistor varies during switching. This is also true for the power dissipated in other semiconductor devices and in the interconnects coupled to the semiconductor devices on the chip.

Referring back to FIG. 3, in step 308, the method 300 calculates the power dissipated by the semiconductor devices and interconnects at a given temperature for the design under consideration. In one embodiment of step 308, e.g., where a steady-state analysis of the chip design is being performed, the interdependence of temperature and average power is captured through pre-characterized parameters of the semiconductor devices and interconnects. In one embodiment, the power dissipated by a semiconductor device (in this exemplary case, a transistor), Ptransistor, is calculated as:


Ptransistor=(Vd)2/Raverage  (EQN. 2)

where Vd is the power supply voltage supplied to the transistor. This voltage, Vd, is less than the actual power supply voltage, Vdd, as the current drawn by the transistors and flowing through the interconnects that connect the transistors to a power supply causes a voltage drop. In another embodiment, the power supply voltage to the transistor Vd could be divided by the maximum or minimum resistance value, Rmax or Rmin, in order to calculate the power dissipated in the transistor. In one embodiment, a decision as to whether to use an average, minimum or maximum resistance value to calculate Ptransistor is based at least in part on whether additional conditions, such as the operation of the circuit, are to be evaluated.

While equations for calculating the power dissipation of transistors have been provided herein by way of example, those skilled in the art will appreciate that various methods of calculating power dissipation for other semiconductor devices, such as resistors, capacitors and diodes, are known in the art. For example, equations for calculating the power dissipation of a resistor are discussed in the Proceedings of the Fourth International Symposium on Quality Electronic Design (ISQED 2003), 24-26 Mar. 2003, San Jose, Calif.

In one embodiment, the power dissipated by the interconnects (e.g., power and signal lines), Pinterconnect is calculated as:


Pinterconnect=P−Ptransistor  (EQN. 3)

where P is the average electrical power dissipated per clock cycle by a digital circuit (e.g., the chip design under consideration; for the full chip, the total P is the sum of the power dissipated by each circuit in the chip) and is available from the library data 202g. In the power lines, power is typically dissipated as Joule heating, where the dissipated power Pdissipated may be calculated as:


Pdissipated=Ip2Rpower  (EQN. 4)

where Ip is the current through the power lines and Rpower is the resistance of the power bus. The value of Ip may be calculated by commercially available tools, such as Voltage Storm, available from Cadence Design Systems, Inc. of San Jose, Calif.

Typically, the power drawn by a switching transistor may be calculated as:


P=Cload VddE(sw)(fclk)  (EQN. 5)

where Cload is the output capacitance as seen by the circuit, E(sw) is the switching activity as defined by the average number of output transitions per clock period, and fclk is the clock frequency. The switching factor or acrivity, E(sw), is used for evaluating the power table for the initial state of the design. Cload may be calculated by parasitic extraction tools, and values for fclk and Vdd are typically specified for a given design. In general, half of the power, P, is stored in the capacitance and the other half is dissipated in the transistors and interconnects (e.g., the power and signal lines). Those skilled in the art will appreciate that since Raverage varies with the transition time of the circuits, and as the switching activity changes for different modes of operation, E(sw) will also change, thereby changing the value of P and the distribution of the amounts of power dissipated in the transistors (e.g., see Equation 2) and interconnects. This will, in turn, change the heat fields and corresponding temperatures within the chip.

In another embodiment of step 308, a transient analysis is performed, wherein the interdependence of temperature and average power in the semiconductor devices and interconnects is based on instantaneous values of power. In this case, power dissipated values are calculated by dynamically simulating the circuit embodied in the chip design under consideration. For example, the circuit may be simulated using any commercially available circuit simulator, such as HSPICE or HSIM, discussed above, or SPECTRE, commercially available from Cadence Design Systems. In one embodiment, the circuit is simulated by solving for values of electrical attributes (e.g., current and voltages) at various points in time. In the case of transient thermal analysis, the thermal analysis system (e.g., thermal analysis tool 200 of FIG. 2) drives the circuit simulator to calculate power at discrete points whenever there is a sufficient change in the temperature of the circuit. In one embodiment, the sufficiency of a temperature change for these purposes is determined by a predefined threshold.

In step 310, the method 300 distributes the power consumed in each of the interconnects. In one embodiment, power is distributed based on the resistance of the wires used in the interconnects, which is defined by the type, thickness and height of the wires used in the interconnects. In one embodiment, the resistance, Rinterconnect, of an interconnect segment is calculated as:

R interconnect = ρ L wt ( EQN . 6 )

where L is the length of the interconnect segment, w is the width of the segment, t is the thickness of the segment, and ρ is a resistivity constant dependent upon the type of wire used. The resistivity constant, ρ, may be found in tables included in any number of integrated circuits textbooks, including Rabaey et al., Digital Integrated Circuits, Second Edition, Prentice Hall Electronic and VLSI Series, 2002.

In step 312, the method 300 uses the power dissipation and distribution information calculated in steps 306-310 to model a full-chip (e.g., three-dimensional) temperature gradient over the chip design under consideration. In one embodiment, a full-chip temperature gradient is modeled by adaptively partitioning the volumes of steep temperature gradients over the chip design. In one embodiment, partitioning is done in three dimensions; however, in other embodiments, partitioning may be done in one or two dimensions as well (for example, vertical partitioning may be explicitly considered in how the temperature is modeled). In one embodiment, “steep” temperature gradients are those portions of the overall temperature gradient that are steep relative to other regions of the overall temperature gradient. In one embodiment, techfile data (e.g., pertaining to the dimensions and properties of the chip design layers) and power density data are used to partition the chip design. Power density data is typically contained within the power table provided for a particular state of operation of a chip design. The temperatures in each partition are then determined and annotated accordingly in the three-dimensional model.

In step 314, the method 300 determines whether the currently computed temperature for the chip design falls within a previously specified range. If the method 300 concludes that the currently computed temperature does not fall within this range, the method 300 proceeds to step 318 and modifies the chip design (e.g., by changing the resistances of the semiconductor devices and interconnects, resizing the semiconductor devices and interconnect wires, etc.). The method 300 then returns to step 308 and proceeds as discussed above.

Alternatively, if the method 300 determines that the currently computed temperature does fall within the specified range, the method 300 proceeds to step 316 and terminates. Thus, steps of the method 300 may be repeated in an iterative manner until a steady state value is reached, within a specified tolerance. In one embodiment, iteration of these steps may depend on the particular implementation of the method 300. In further embodiments, iteration could include convergence to an absolute value, convergence to a relative value, or the passing of a fixed number or iterations or a fixed amount of time.

Thus, the method 300 employs industry standard design, package and heat sink data in order to produce a more complete and more accurate profile of the temperature gradient created by a semiconductor chip design. By accounting for the distribution of power dissipated in the semiconductor devices and in the interconnects, rather than simply characterizing dissipated power as the power dissipated in the active semiconductor devices (which does not consider simultaneous changes in the electrothermal properties of the semiconductor devices and interconnects), more accurate, full-chip thermal profiling can be achieved.

Chip designers may use the full-chip data produced by the method 300 to design more robust semiconductor chips for particular applications. For example, if the full-chip temperature gradient produced by one iteration of the method 300 does not illustrate acceptable results for a semiconductor chip design, a chip designer may go back and modify the chip design (e.g., by changing the resistances of the semiconductor devices and interconnects, resizing the semiconductor devices and interconnect wires, etc.) in an attempt to achieve more desirable results. The method 300 may then be applied to the modified design to assess the resultant temperature gradient. Those skilled in the art will appreciate that while the method 300 illustrates a series of steps, the present invention is not limited to the particular sequence illustrated, and thus FIG. 3 should be considered only as one exemplary embodiment of the present invention.

In one embodiment, knowledge of full-chip temperature gradients may be used to normalize (e.g., reduce large variations in) the gradients over semiconductor chip designs. For example, a chip designer can normalize the thermal gradient over a semiconductor chip by identifying and addressing those regions of the chip where the thermal variations are most pronounced.

FIG. 5 is a flow diagram illustrating one embodiment of a method 500 for normalizing thermal gradients over a semiconductor chip design, according to the present invention. The method 500 is initiated at step 502 and proceeds to step 504, where the method 500 receives full-chip temperature data for a semiconductor chip design. The full-chip temperature data may be calculated, for example, in accordance with the method 300 described above.

In step 506, the method 500 analyzes, based on the full-chip temperature data, the thermal gradients over or between each pair of semiconductor devices in the semiconductor chip design. That is, each thermal gradient that exists between two semiconductor devices is calculated.

For example, FIG. 6 is a block diagram illustrating one exemplary embodiment of a semiconductor chip design 600 that may be analyzed in accordance with the method 500. The semiconductor chip design 600 comprises a substrate 602 upon which a plurality of heat-generating semiconductor devices 6041-604n (hereinafter collectively referred to as “semiconductor devices 604”) are positioned. In accordance with the step 506 of the method 500, thermal gradients between, for example, semiconductor devices 6041 and 6042, semiconductor devices 6041 and 6044 and semiconductor devices 6041 and 6045, among others, would be analyzed.

Referring back to FIG. 5, in step 508, the method 500 determines whether all of the thermal gradients over all of the semiconductor device pairs are within predefined limits for the semiconductor chip design (e.g., as dictated by design constraints). If the method 500 determines in step 508 that all of the thermal gradients are within the predefined limits, the method 500 terminates in step 522.

Alternatively, if the method 500 determines in step 508 that all of the thermal gradients are not within the predefined limits (e.g., one or more thermal gradients exceeds the predefined limits), the method 500 proceeds to step 510 and sorts the pairs of semiconductor devices whose thermal gradients exceed the predefined limits. In one embodiment, the semiconductor device pairs are sorted according to the magnitudes of the associated thermal gradients (e.g., smallest thermal gradient to largest) and entered in a queue. In FIG. 6, the dashed line 608 illustrates a temperature gradient across semiconductor devices 6045 and 6046 that exceeds predefined limits for the semiconductor chip design 600; thus, the thermal gradient between semiconductor devices 6045 and 6046 would be sorted in accordance with step 510.

In step 512, the method 500 identifies, for each thermal gradient sorted in step 510, one or more locations in the semiconductor chip design in which a supplemental heat source may be placed. In one embodiment, a supplemental heat source is a controlled power source (e.g., a set of metal-oxide semiconductor (MOS) transistors or a resistance having a controlled current through it) that is configured to dissipate a known amount of heat. In one embodiment, locations for supplemental heat sources are selected to mirror the positions of the semiconductor devices across which the overly large thermal gradients occur (e.g., such that the arrangement of semiconductor devices and supplemental heat sources is symmetric about an axis). Thus, for example, in FIG. 6, a location for a first supplemental heat source 6061 is selected to reflect semiconductor device 6046 about axis A-A′, and a location for a second supplemental heat source 606n is selected to reflect semiconductor device 6045 about axis A-A′.

Placement of supplemental heat sources will depend in part on the availability of space in the neighborhood of the semiconductor devices. To compensate for this restriction on location, the power values of the supplemental heat sources may be adjusted based on thermal gradient values, as discussed in further detail below. In one embodiment, two supplemental heat sources are implemented to normalize the thermal gradient: one “upstream” supplemental heat source and one “downstream” supplemental heat source on either side of the pair of semiconductor devices whose thermal gradient requires normalization.

In step 514, the method 500 places or inserts one or more supplemental heat sources into the semiconductor chip design, in one or more of the locations identified in step 512.

In step 516, the method 500 assigns a power value to each supplemental heat source that has been inserted into the semiconductor chip design. In one embodiment, the power value initially assigned to a supplemental heat source is substantially equal to the power value of the semiconductor device that the supplemental heat source is positioned to reflect. Thus, for example, in FIG. 6, the initial power value set in step 516 for the supplemental heat source 6061 would be substantially equal to the power value of the semiconductor device 6046.

Once power values have been assigned to all supplemental heat sources, the method 500 proceeds to step 518 and recomputes the thermal gradients. In one embodiment, the thermal gradients are recomputed by recomputing the full-chip temperature data, e.g., in accordance with the method 300 described above.

In step 520, the method 500 determines whether, in light of the insertion of the supplemental heat sources, all of the thermal gradients over all of the semiconductor device pairs are now within the predefined limits. If the method 500 determines in step 520 that all of the thermal gradients are now within the predefined limits, the method 500 terminates in step 522.

Alternatively, if the method 500 determines in step 520 that all of the thermal gradients are not within the predefined limits, the method 500 returns to step 516, and, for each thermal gradient that exceeds the predefined limits, re-assigns or adjusts the power values for the associated supplemental heat sources that have been inserted. In one embodiment, the power values for the associated supplemental heat sources are increased by the amount TkS, where T is the difference in temperature between the semiconductor devices across which the thermal gradient occurs, k is the thermal conductivity of the material separating the supplemental heat source from the cooler of the semiconductor devices across which the thermal gradient occurs and S is the shape factor of the material separating the supplemental heat source from the cooler of the semiconductor devices across which the thermal gradient occurs. As described at http://granular.che.pitt.edu/˜mccarthy/che1011/Cond/Multi/shape_factors.html, the shape factor of a material is geometry-dependent.

The method 500 then proceeds from step 516 as described above, repeating as many iterations of steps 516-520 as is necessary to bring all thermal gradients in the semiconductor chip design within the predefined limits.

In this manner, the method 500 normalizes thermal gradients that exceed design limitations by inserting supplemental heat sources in strategic locations within the semiconductor chip design. The supplemental heat sources are configured to dissipate heat such that variations in the thermal gradient are reduced, thereby bringing the semiconductor chip design within the design constraints imposed thereon.

In one embodiment, supplemental heat sources are inserted to account for worst-case thermal gradient conditions, e.g., using full-chip thermal analysis of the semiconductor chip design. In another embodiment, power values of the inserted supplemental heat sources can be adjusted in real time in response to a measured state of heat dissipation within a semiconductor chip design (e.g., monitored using control circuits and temperature measurement devices). In yet another embodiment, known states of the supplemental heat sources are simulated in a full-chip thermal analysis, and, for specific states of the supplemental heat sources, control input is set to dissipate required amounts of heat (e.g., to normalize observed thermal gradients).

FIG. 7 is a schematic diagram illustrating one embodiment of a supplemental heat source 700 according to the present invention. In one embodiment, the supplemental heat source 700 comprises one or more heat dissipating devices 7021-702n (hereinafter collectively referred to as “heat dissipating devices 702”) coupled to a control device 704 and to a power rail 706. In addition, the control device 704 is coupled to a ground rail 708.

The control device 704 is configured to control, in response to a control signal or input, the power provided to the heat dissipating devices 702.

In one embodiment, the heat dissipating devices 702 are MOS transistors virtually disconnected from power. In this embodiment, the control device 704 is a transistor whose control gate is connected to any circuit that can be activated by connecting to a fixed voltage. In the case where the supplemental heat source 700 is inserted to account for a particular worst-case thermal gradient condition, the control device 704 is a fixed voltage control source.

In another embodiment, the control device 704 is connected to control logic that monitors the thermal gradients of a semiconductor chip design in real time. In this case, the control device 704 uses a programmable controlled logic circuit to scale the heat dissipated by the heat dissipating devices 702. The magnitude of heat dissipated by the heat dissipating devices may be determined by prior full-chip thermal analysis (e.g., in accordance with the method 300).

FIG. 8 is a high level block diagram of the present thermal gradient normalization tool that is implemented using a general purpose computing device 800. In one embodiment, a general purpose computing device 800 comprises a processor 802, a memory 804, a gradient normalization module 805 and various input/output (I/O) devices 806 such as a display, a keyboard, a mouse, a modem, a network connection and the like. In one embodiment, at least one I/O device is a storage device (e.g., a disk drive, an optical disk drive, a floppy disk drive). It should be understood that the gradient normalization module 805 can be implemented as a physical device or subsystem that is coupled to a processor through a communication channel.

Alternatively, the gradient normalization module 805 can be represented by one or more software applications (or even a combination of software and hardware, e.g., using Application Specific Integrated Circuits (ASIC)), where the software is loaded from a storage medium (e.g., I/O devices 806) and operated by the processor 802 in the memory 804 of the general purpose computing device 800. Additionally, the software may run in a distributed or partitioned fashion on two or more computing devices similar to the general purpose computing device 800. Thus, in one embodiment, the gradient normalization module 805 for normalizing thermal gradients over semiconductor chip designs described herein with reference to the preceding figures can be stored on a computer readable medium or carrier (e.g., RAM, magnetic or optical drive or diskette, and the like).

Thus, the present invention represents a significant advancement in the field of semiconductor chip design. One embodiment of the invention provides an inventive method for normalizing thermal gradients over semiconductor chip designs. In particular, the inventive method produces a full, three-dimensional solution of temperature values within a chip design, including power dissipation values distributed over semiconductor devices (e.g., transistors, resistors, capacitors, diodes and the like) and wire interconnects. Chip designers may use this knowledge to guide the placement of supplemental heat sources for normalizing (e.g., reducing the large variations in) thermal gradients over chip designs, thereby producing more robust semiconductor chips.

While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A method for normalizing a thermal gradient in a semiconductor chip design, the method comprising:

determining a location of said thermal gradient; and
inserting at least one supplemental heat source into said semiconductor chip design such that said thermal gradient is normalized by heat dissipated by said at least one supplemental heat source.

2. The method of claim 1, wherein said determining is performed in accordance with full-chip temperature data for said semiconductor chip design.

3. The method of claim 1, wherein said inserting comprises:

selecting a location for said at least one supplemental heat source; and
assigning a power value to said at least one supplemental heat source.

4. The method of claim 3, wherein said thermal gradient occurs between a first semiconductor device and a second semiconductor device that is cooler than said first semiconductor device.

5. The method of claim 4, wherein said selecting comprises:

positioning said at least one supplemental heat source to mirror a position of at least one of said first semiconductor device and said second semiconductor device.

6. The method of claim 3, further comprising:

computing full-chip temperature data for said semiconductor chip design, including said at least one supplemental heat source; and
adjusting said power value if said thermal gradient exceeds a design constraint applicable to said semiconductor chip design.

7. The method of claim 6, wherein said adjusting comprises:

increasing said power value by an amount substantially equal to a difference in temperature between said first semiconductor device and said second semiconductor device multiplied by a thermal conductivity of a material separating said at least one supplemental heat source from said second semiconductor device multiplied by a shape factor of said material.

8. The method of claim 3, wherein said assigning comprises:

assigning said power value to account for a worst-case condition for said thermal gradient.

9. The method of claim 3, wherein said assigning comprises:

adjusting said power value in real time in response to a measured state of heat dissipation within said semiconductor chip design.

10. The method of claim 1, wherein said at least one supplemental heat source is a controlled power source that is configured to dissipate a known amount of heat.

11. A computer readable medium containing an executable program for normalizing a thermal gradient in a semiconductor chip design, where the program performs the steps of

determining a location of said thermal gradient; and
inserting at least one supplemental heat source into said semiconductor chip design such that said thermal gradient is normalized by heat dissipated by said at least one supplemental heat source.

12. The computer readable medium of claim 11, wherein said determining is performed in accordance with full-chip temperature data for said semiconductor chip design.

13. The computer readable medium of claim 11, wherein said inserting comprises:

selecting a location for said at least one supplemental heat source; and
assigning a power value to said at least one supplemental heat source.

14. The computer readable medium of claim 13, wherein said thermal gradient occurs between a first semiconductor device and a second semiconductor device that is cooler than said first semiconductor device.

15. The computer readable medium of claim 14, wherein said selecting comprises:

positioning said at least one supplemental heat source to mirror a position of at least one of said first semiconductor device and said second semiconductor device.

16. The computer readable medium of claim 13, further comprising:

computing full-chip temperature data for said semiconductor chip design, including said at least one supplemental heat source; and
adjusting said power value if said thermal gradient exceeds a design constraint applicable to said semiconductor chip design.

17. The computer readable medium of claim 16, wherein said adjusting comprises:

increasing said power value by an amount substantially equal to a difference in temperature between said first semiconductor device and said second semiconductor device multiplied by a thermal conductivity of a material separating said at least one supplemental heat source from said second semiconductor device multiplied by a shape factor of said material.

18. The computer readable medium of claim 13, wherein said assigning comprises:

assigning said power value to account for a worst-case condition for said thermal gradient.

19. The computer readable medium of claim 13, wherein said assigning comprises:

adjusting said power value in real time in response to a measured state of heat dissipation within said semiconductor chip design.

20. The computer readable medium of claim 11, wherein said at least one supplemental heat source is a controlled power source that is configured to dissipate a known amount of heat.

21. Apparatus for normalizing a thermal gradient in a semiconductor chip design, the apparatus comprising:

means for determining a location of said thermal gradient; and
means for inserting at least one supplemental heat source into said semiconductor chip design such that said thermal gradient is normalized by heat dissipated by said at least one supplemental heat source.
Patent History
Publication number: 20090044156
Type: Application
Filed: Oct 24, 2008
Publication Date: Feb 12, 2009
Inventors: Rajit Chandra (Cupertino, CA), Daniel I. Rubin (Atherton, CA)
Application Number: 12/257,977
Classifications
Current U.S. Class: 716/2
International Classification: G06F 17/50 (20060101);