Doping of High-K Dielectric Oxide by Wet Chemical Treatment

A method for fabricating a semiconductor device includes forming a first high-k (HK) dielectric layer over a substrate, performing a wet treatment process to the first HK dielectric layer. The wet treatment includes a dopant. The method also includes performing an annealing process to the first HK dielectric layer such that the dopant diffuses into the first HK dielectric layer to form a modified HK dielectric layer. Therefore the modified HK dielectric layer has a second dielectric constant which is different than the first dielectric constant.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
BACKGROUND

The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC design and material have produced generations of ICs where each generation has smaller and more complex circuits than previous generations. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.

When a semiconductor device such as a metal-oxide-semiconductor field-effect transistor (MOSFET) is scaled down through various technology nodes, high-k (HK) dielectric material and metal are adopted to form a gate stack. Although existing methods of fabricating IC devices have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. For example, challenges rise to develop robust processes for increasing dielectric constant of a HK gate dielectric layer.

BRIEF DESCRIPTION OF THE DRAWINGS

Aspects of the present disclosure are best understood from the following detailed description when read in association with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features in drawings are not drawn to scale. In fact, the dimensions of illustrated features may be arbitrarily increased or decreased for clarity of discussion.

FIG. 1 is a flowchart of an example method for fabricating a semiconductor device constructed in accordance with some embodiments.

FIG. 2A is a diagrammatic perspective view of an example of a work piece of a semiconductor device in accordance with some embodiments.

FIG. 2B is a cross-sectional view of an example of a work piece of a semiconductor device along the line A-A in FIG. 2A.

FIGS. 3 to 8 are cross-sectional views of an example semiconductor device in accordance with some embodiments, along the line A-A in FIG. 2A.

DETAILED DESCRIPTION

The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.

The present disclosure is directed to, but not otherwise limited to, a fin-like field-effect transistor (FinFET) device. Such a device may include a P-type metal-oxide-semiconductor FinFET device or an N-type metal-oxide-semiconductor FinFET device. The FinFET device may be a dual-gate device, tri-gate device, bulk device, silicon-on-insulator (SOI) device, and/or other configuration. One of ordinary skill may recognize other embodiments of semiconductor devices that may benefit from aspects of the present disclosure. For example, some embodiments as described herein may also be applied to gate-all-around (GAA) devices, Omega-gate (Ω-gate) devices, or Pi-gate (Π-gate) devices. The following disclosure will continue with a FinFET example to illustrate various embodiments of the present invention. It is understood, however, that the application should not be limited to a particular type of device, except as specifically claimed.

FIG. 1 is a flowchart of a method 100 of fabricating one or more semiconductor devices in accordance with some embodiments. The method 100 is discussed in detail below, with reference to a semiconductor device 200, shown in FIGS. 2A and 2B-8.

Referring to FIGS. 1, 2A, and 2B, the method 100 starts at step 102 by receiving a workpiece 205 of the semiconductor device 200. The workpiece 205 includes a substrate 210. The substrate 210 may be a bulk silicon substrate. Alternatively, the substrate 210 may comprise an elementary semiconductor, such as silicon (Si) or germanium (Ge) in a crystalline structure; a compound semiconductor, such as silicon germanium (SiGe), silicon carbide (SiC), gallium arsenic (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs), and/or indium antimonide (InSb); or combinations thereof. Possible substrates 210 also include a silicon-on-insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.

Some exemplary substrates 210 also include an insulator layer. The insulator layer comprises any suitable material, including silicon oxide, sapphire, and/or combinations thereof. An exemplary insulator layer may be a buried oxide layer (BOX). The insulator is formed by any suitable process, such as implantation (e.g., SIMOX), oxidation, deposition, and/or other suitable process. In some exemplary semiconductor device 200, the insulator layer is a component (e.g., layer) of a silicon-on-insulator substrate.

The substrate 210 may also include various doped regions. The doped regions may be doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; or combinations thereof. The doped regions may be formed directly on the substrate 210, in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure. The substrate 210 may further include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor device and regions configured for a P-type metal-oxide-semiconductor transistor device.

The substrate 210 may also include various isolation features 220. The isolation features 220 separate various device regions in the substrate 210. The isolation features 220 include different structures formed by using different processing technologies. For example, the isolation features 220 may include shallow trench isolation (STI) features. The formation of a STI may include etching a trench in the substrate 210 and filling in the trench with insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride. The filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench. A chemical mechanical polishing (CMP) may be performed to polish back excessive insulator materials and planarize the top surface of the isolation features 220.

The workpiece 205 also includes a plurality of fin features 230 formed over the substrate 210. The fin feature 230 may include Si, SiGe, silicon germanium tin (SiGeSn), GaAs, InAs, InP, or other suitable materials. In some embodiments, the fin feature 230 is formed by any suitable process including various deposition, photolithography, and/or etching processes. As an example, the fin feature 230 is formed by patterning and etching a portion of the substrate 210.

The workpiece 205 also includes a plurality of gate stacks 240 over the substrate 210, including wrapping over a portion of the fin features 230. In the present embodiment, the gate stack 240 is a dummy gate stack, which will be replaced later by high-k/metal gate (HK/MG). The dummy gate stack 240 may include a dielectric layer, a polysilicon layer. The dummy gate stack 240 may be formed by any suitable process or processes, such as deposition, patterning and etching.

Sidewall spacers 245 are formed along the sidewalls of the dummy gate stack 240. The sidewall spacers 245 may include a dielectric material such as silicon oxide. Alternatively, the sidewall spacers 245 may include silicon nitride, silicon carbide, silicon oxynitride, or combinations thereof. The sidewall spacers 245 may be formed by depositing a gate sidewall spacer layer and then anisotropic dry etching the gate sidewall spacer layer, known in the art.

The workpiece 205 also includes source/drain (S/D) features 250 over the substrate 210, beside the dummy gate stack 240 (with the sidewall spacers 245). In some embodiments, the source/drain feature 250 is a source feature, and another source/drain feature 250 is a drain feature. The source/drain features 250 are separated by the dummy gate stack 240. In one embodiment, a portion of the fin feature 230, beside the dummy gate stack 240 is recessed to form S/D recesses 255 and then the S/D features 250 are formed over the S/D recesses 255 by epitaxial growing processes, including chemical vapor deposition (CVD) deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes. The S/D features 250 may include Ge, Si, GaAs, aluminum gallium arsenide (AlGaAs), SiGe, gallium arsenide phosphide (GaAsP), GaSb, InSb, indium gallium arsenide (InGaAs), InAs, or other suitable materials. After the S/D recesses 255 are filled with the S/D feature 250, further epitaxial growth of a top layer of the S/D features 250 expands horizontally and facets may start to form, such as a diamond shape facets. The S/D features 250 may be in-situ doped during the epi processes. For example, in one embodiment, the S/D feature 250 includes an epitaxially grown SiGe layer that is doped with boron. In another embodiment, the S/D feature 250 includes an epitaxially grown Si epi layer that is doped with carbon. In yet another embodiment, the S/D feature 250 includes an epitaxially grown Si epi layer that is doped with phosphorous. In one embodiment, the S/D feature 250 is not in-situ doped, an implantation process (i.e., a junction implant process) is performed to dope the S/D feature 250. One or more annealing processes may be performed to activate dopants. The annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.

The workpiece 205 also includes an interlayer dielectric (ILD) layer 260 deposited over the substrate 210, including between/over each of the dummy gate stack 240 and over the S/D features 250. The ILD layer 260 may be deposited by CVD, atomic layer deposition (ALD), spin-on coating, or other suitable techniques. The ILD layer 260 may include silicon oxide, silicon nitride, oxynitride, a dielectric material having a dielectric constant (k) lower than thermal silicon oxide (therefore referred to as low-k dielectric material layer), and/or other suitable dielectric material layer. The ILD layer 260 may include a single layer or multiple layers. A CMP may be performed to polish back the ILD layer 260 to expose a top surface of the dummy gate stack 240.

Referring to FIGS. 1 and 3, once the workpiece 205 is received, the method of 100 proceeds to step 104 by removing the dummy gate stack 240 to form a gate trench 310. In some embodiments, the etching processes may include selective wet etch or selective dry etch, such that having an adequate etch selectivity with respect to the fin feature 230, the sidewall spacer 245 and the ILD layer 260. In one embodiment, a selective wet etching solution includes a tetramethylammonium hydroxide (TMAH), a HF/HNO3/CH3COOH solution, or other suitable solution. The respective etch process may be tuned with various etching parameters, such as etchant used, etching temperature, etching solution concentration, etching pressure, source power, RF bias voltage, RF bias power, etchant flow rate, and/or other suitable parameters. For example, a wet etching solution may include NH4OH, KOH (potassium hydroxide), HF (hydrofluoric acid), TMAH (tetramethylammonium hydroxide), other suitable wet etching solutions, or combinations thereof. In another example a dry etching processes include a biased plasma etching process that uses a chlorine-based chemistry. Other dry etchant gasses include CF4, NF3, SF6, and He. Dry etching may also be performed anisotropically using such mechanism as DRIE (deep reactive-ion etching). Alternatively, the dummy gate stacks 240 may be removed by a series of processes including photolithography patterning and etching back.

In the present embodiment, a portion of the fin feature 230 is exposed in the gate trenches 310, where a gate channel is to be formed and therefore it is referred to as a channel region 315. The channel region 315 disposed between the S/D features 250. The flow of carriers (electrons for the n-channel device and holes for the p-channel device) through the channel region 315 is controlled by a voltage applied to a gate stack to be formed adjacent to and overwrapping the channel region 315.

Referring to FIGS. 1 and 4, the method 100 proceeds to step 106 by depositing a first gate dielectric layer 410 over the substrate 210, including wrapping over the exposed fin feature 230 (the channel region 315) in the gate trench 310. In some embodiments, prior to depositing the first HK dielectric layer, an interfacial layer (IL) 405 is deposited over the exposed fin feature 230 first by a suitable method, such as atomic layer deposition (ALD), CVD, thermal oxidation or ozone oxidation. The IL 405 may include oxide, HfSiO and oxynitride. The gate dielectric layer 410 is then deposited over the IL 405. In the present embodiment, the gate dielectric layer 410 includes a dielectric material layer having a high dielectric constant (HK dielectric layer-greater than that of the thermal silicon oxide in the present embodiment). The first HK dielectric layer 410 is deposited over the IL 405 by a suitable technique, such as ALD, CVD, metal-organic CVD (MOCVD), physical vapor deposition (PVD), other suitable technique, or a combination thereof. The first HK dielectric layer 410 may include LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, HfO2, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), or other suitable materials. The first HK dielectric layer 410 has a first dielectric constant k1.

To increase a dielectric constant of the HK gate dielectric layer, several approaches have been attempted. For example, performing an implantation process to introduce a dopant to the HK gate dielectric film. But the implantation doping process may induce channel region damage due to its physical bombardment and charging damage. For another example, an in-situ dopant film deposition is employed into the HK gate dielectric film deposition process by alternating a HK gate dielectric film deposition cycle and a dopant film deposition cycle. But a low dopant is difficult to achieve due to a minimum thickness exists in each dopant film deposition cycle. Also cross-reaction between precursors of a HK gate dielectric film deposition process and a dopant film deposition process brings constrains. The present disclosure provides a wet doping process to increase dielectric constant of the HK gate dielectric film.

Referring to FIGS. 1 and 5, the method 100 proceeds to step 108 by performing a wet-treatment to the first HK dielectric layer 410. The wet-treatment may be performed in a wet station or in a chamber. The wet-treatment may be performed in a batch mode or in a single wafer mode. In the present embodiment, the wet-treatment is performed such that a chemical solution 510 carrying a dopant 515 is applied to the first HK dielectric layer 410. The dopant 515 is then absorbed by the first HK dielectric layer 410 to form a dopant absorption layer 610 as an upper portion of the first HK dielectric layer 410.

The dopant 515 may include AlCl3, Al(OH)3, Al2(SO4)3, Al(NO3)3, yttrium (Y), lanthanum (La), gadolinium (Gd), and/or other suitable dopant materials. The chemical solution 510 may include de-ionized water (DIW), isopropyl alcohol (IPA), organic solvents, and/or other suitable solutions. For example, the dopant Al(OH)3 is carried by the IPA solution 515. For another example, a dopant AlCl3 515 is carried by propylene carbonate. In one embodiment, the dopant Al 515 in acidic solution 510 is absorbed onto a surface of the first HfO2 layer 410 to form the dopant absorption layer 610. It is believed that during the wet-treatment, a chemical HfO2 layer with hydroxyl groups is formed on the surface of the first HfO2 layer 410. The dopant Al 515 substitutes hydrogen from hydroxyl surface group. In another embodiment, the dopant Al 515 in an alkaline solution 510 is absorbed onto a surface of the first HfO2 layer 410 to form the dopant absorption layer 610. In this case, it is believed that the hydroxyl is dissociated and replaced by Al(OH).

The chemical solution 510 (carrying the dopant 515) may be applied to the first HK dielectric layer 410 by spraying (or otherwise dispensing) it onto the first HK dielectric layer 410 through a nozzle of a chemical solution container in a process chamber. In some embodiments, the substrate 210 is being rotated (e.g., spun about a central axis of the substrate 210) while the chemical solution 510 (carrying the dopant 515) is sprayed onto the first HK dielectric layer 410. The substrate 210 may be rotated in a range from 100 rpm to 4000 rpm, by way of example. In some embodiments, the substrate 210 is heated by a stage that holds the substrate 210. Heating the substrate 210 may provide benefits such as enhancing dopant absorption in the first HK dielectric layer 410. In some embodiments, a temperature of the chemical solution 510 is chosen in a range from about 30° C. to about 250° C.

In the present embodiment, an absorbed dopant concentration in the absorption layer 610 may be adjusted by process parameters such as a concentration of the dopant 515, the pH value of the chemical solution and time duration of applying the wet treatment. In some embodiment, a concentration of the dopant 515 in the chemical solution 510 may be chosen in a range from about 0.01 parts per billion (ppb) to about 10000 ppb by weight (or mass). Thus, a low dopant (e.g. <5%) of the absorption layer 610 is feasible.

In some embodiments, other processes may interpose the wet-treatment such as, for example, rinse process (es), dry process (es), and additional fabrication processes that form one or more features on surfaces of the substrate 210. In some embodiments, an oxidizer (e.g. hydrogen peroxide) is applied to oxidize the dopant absorption layer 610 to enhance adhesion between the dopant absorption layer 610 and a subsequent layer to be deposited over the dopant absorption layer 610.

Referring to FIGS. 1 and 6, the method 100 proceeds to step 110 by depositing a second HK layer 620 over the first HK dielectric layer 410 (having the dopant absorption layer 610) in the gate trench 310. The second HK layer 620 is formed similarly in many respects to the first HK dielectric layer 410 discussed above in association with FIG. 4. In some embodiments, the second HK layer 620 is formed of the same material as the first HK dielectric layer 410. In some embodiments, the second HK layer 620 is formed of a different material than the first HK dielectric layer 410. In some embodiments, the first and second HK layers, 410 and 620, have a different film thickness. The second HK dielectric layer 620 has a second dielectric constant k2.

Referring to FIGS. 1 and 7, the method 100 proceeds to step 112 by applying an annealing process to the first HK dielectric layer 410 (with the dopant absorption layer 610) and the second HK dielectric layer 620. The annealing process diffuse dopants 515 from the dopant absorption layer 610 into the first HK dielectric layer 410 further and into the second HK dielectric layer 620. Thus the modified first HK dielectric layer 410 and the modified second HK dielectric layer 620 form a modified HK dielectric stack 630. For the sake of clarity and to better illustrate the concepts of the present disclosure, the modified first and modified second HK dielectric layers, 410 and 620, assigned reference numbers 635 and 636, respectively.

By incorporating with the dopant 515, the modified HK dielectric stack 630 has a third dielectric constant k3 which is different than the first dielectric constant k1 and the second dielectric constant k2. In the present embodiment, the third dielectric constant k3 is greater than the first dielectric constant k1 and the second dielectric constant k2. In one embodiment, the third dielectric constant k3 of the Al doped HfO2 HK dielectric stack 630 is about 30 while both of the first and second dielectric constants, k1 and k2, of the HfO2 layers 410 and 620 are about 15. In the present embodiment, the dielectric constants of the first and second of the HK dielectric layers, 410 and 620, are increased without physical bombardment damage such as being experienced in an implantation process and without process constrains such as limited volatile candidates and cross reaction of precursors in a dopant film deposition.

In present embodiment, the annealing process is conducted in inert gases (e.g., Ar, N2, N2:H2 forming gas) and/or oxidizing (O2, H2O, N2O, NO), and no gases (gas-free) ambient atmosphere. The annealing process may include a rapid thermal anneal (RTA), a laser anneal, and/or a flash lamp anneal. In the present embodiment, the annealing process is performed with a temperature in the range from about 150° C. to about 800° C. and with duration in the range from about one second to about 10 minutes.

Referring to FIGS. 1 and 8, the method 100 proceeds to step 114 by forming metal gate (MG) electrode 710 over the HK dielectric stack 630. The MG electrode 710 may include a single layer or alternatively a multi-layer structure, such as various combinations of a metal layer with a work function to enhance the device performance (work function metal layer), liner layer, wetting layer, adhesion layer and a conductive layer of metal, metal alloy or metal silicide). The MG electrode 710 may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, any suitable materials or a combination thereof. The MG electrode 710 may be formed by ALD, PVD, CVD, or other suitable process. A CMP process may be performed to remove excessive MG electrode 710. The CMP process provides a substantially planar top surface for the MG electrode 710 and the ILD layer 260.

Additional steps can be provided before, during, and after the method 100, and some of the steps described can be replaced, eliminated, or moved around for additional embodiments of the method 100. Other alternatives or embodiments may present without departure from the spirit and scope of the present disclosure. For example, the second HK dielectric layer 620 is deposited over the first HK dielectric layer 410 after performing the annealing process. For another example, For example, in some embodiment, prior to applying annealing process, another wet-treatment is performed by applying a chemical solution carrying a dopant to the second HK dielectric layer 620 and form a dopant absorption layer as an upper portion of the second HK dielectric layer 620. In some embodiments, the chemical solutions applying to the first and second HK dielectric layers, 410 and 620, are same, as well as dopants carried by these two chemical solutions. In some embodiments, the chemical solutions applying to the first and second HK dielectric layer, 410 and 620, are different, neither dopants carried by these two chemical solutions.

The semiconductor device 200 may undergo further CMOS or MOS technology processing to form various features and regions known in the art. For example, subsequent processing may form various contacts/vias/lines and multilayers interconnect features (e.g., metal layers and interlayer dielectrics) over the substrate 210, configured to connect the various features or structures of the FinFET device 200. For example, a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines. The various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide. In one example, a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.

Based on the above, it can be seen that the present disclosure provides methods of modifying a dielectric constant of a HK dielectric layer by a wet chemical treatment. The method demonstrates a low damage, relaxed process constrains and flexible dopant range process to increase a dielectric constant of a HK dielectric layer.

The present disclosure provides many different embodiments of fabricating a semiconductor device that provide one or more improvements over existing approaches. In one embodiment, a method for fabricating a semiconductor device includes forming a first high-k (HK) dielectric layer over a substrate. The first HK dielectric layer has a first dielectric constant. The method also includes performing a wet treatment process to the first HK dielectric layer and the wet treatment includes a dopant. The method also includes performing an annealing process to the first HK dielectric layer such that the dopant diffuses into the first HK dielectric layer to form a modified HK dielectric layer. Therefore the modified HK dielectric layer has a second dielectric constant which is different than the first dielectric constant.

In another embodiment, a method includes forming a dummy gate stack over a fin feature, removing the dummy gate stack to form a gate trench that exposes a portion of the fin structure, depositing a first high-k (HK) dielectric layer to wrap over the exposed portion of the fin structure in the gate trench. The first HK dielectric layer has a first dielectric constant. The method also includes applying a chemical solution carrying a dopant to the first HK dielectric layer, wherein the dopant is absorbed by the first HK dielectric layer, depositing a second HK dielectric layer over the first HK dielectric layer. The second HK dielectric layer has a second dielectric constant. The method also includes performing an annealing process to the first HK dielectric layer and the second HK dielectric layer such that the dopant diffuses into the first HK dielectric layer and the second HK dielectric layer to form a modified HK dielectric stack. The modified HK dielectric stack has a third dielectric constant which is different than the first dielectric constant and the second dielectric constant.

In yet another embodiment, a method includes forming a dummy gate stack over a fin feature, removing the dummy gate stack to form a gate trench that exposes a portion of the fin structure, depositing a first high-k (HK) dielectric layer to wrap over the exposed portion of the fin structure in the gate trench. The first HK dielectric layer has a first dielectric constant. The method also includes applying a chemical solution carrying a dopant to the first HK dielectric layer. The dopant is absorbed by the first HK dielectric layer. The method also includes depositing a second HK dielectric layer over the first HK dielectric layer. The first HK dielectric layer has a second dielectric constant. The method also includes performing an annealing process to the first HK dielectric layer and the second HK dielectric layer such that the dopant diffuses into the first HK dielectric layer and the second HK dielectric layer to form a modified HK dielectric stack. Therefore the modified HK dielectric stack has a third dielectric constant which is greater than the first dielectric constant and the second dielectric constant. The method also includes forming a metal gate (MG) over the second HK dielectric layer.

The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims

1. A method comprising:

forming a first high-k (HK) dielectric layer over a substrate, wherein the first HK dielectric layer has a first dielectric constant;
performing a wet treatment process to the first HK dielectric layer, wherein the wet treatment includes a dopant; and
performing an annealing process to the first HK dielectric layer such that the dopant diffuses into the first HK dielectric layer to form a modified HK dielectric layer, wherein the modified HK dielectric layer has a second dielectric constant which is different than the first dielectric constant.

2. The method of claim 1, wherein performing the wet treatment process to the first HK dielectric layer includes applying a chemical solution carrying the dopant to the first HK dielectric layer; wherein the dopant is absorbed by the first HK dielectric layer.

3. The method of claim 1, further comprising forming a second HK dielectric layer over the first HK dielectric layer after performing the wet treatment process to the first HK dielectric layer.

4. The method of claim 1, further comprising forming a second HK dielectric layer over the first HK dielectric, and

wherein performing the annealing process to the first HK dielectric layer such that the dopant diffuses into the first HK dielectric layer to form the modified HK dielectric layer includes performing the annealing process to the second HK dielectric layer such that the dopant diffuses into the second HK dielectric layer to form the modified HK dielectric.

5. The method of claim 1, further comprising forming a second HK dielectric layer over the first HK dielectric, wherein the second HK dielectric has a third dielectric constant that is different than the first and second dielectric constants.

6. The method of claim 1, wherein the second dielectric constant is greater than the first dielectric constant.

7. The method of claim 1, further comprising forming a dummy gate stack over the substrate;

removing the dummy gate stack prior to forming the first HK dielectric layer over the substrate; and
forming a gate electrode over the modified HK dielectric layer.

8. The method of claim 1, wherein the dopant includes one or more materials from the group consisting of AlCl3, Al(OH)3, Al2(SO4)3, Al(NO3)3, yttrium (Y), lanthanum (La) and gadolinium (Gd).

9. The method of claim 1, wherein the chemical solution includes one or more materials from the group consisting of de-ionized water (DIW), isopropyl alcohol (IPA) and organic solvent.

10. The method of claim 1, further comprising:

after applying a chemical solution carrying a dopant to the first HK dielectric layer, applying an oxidizer solution to the first HK dielectric layer, wherein the oxidizer solution includes hydrogen peroxide.

11. A method comprising:

forming a dummy gate stack over a fin feature;
removing the dummy gate stack to form a gate trench that exposes a portion of the fin structure;
depositing a first high-k (HK) dielectric layer to wrap over the exposed portion of the fin structure in the gate trench, wherein the first HK dielectric layer has a first dielectric constant;
applying a chemical solution carrying a dopant to the first HK dielectric layer, wherein the dopant is absorbed by the first HK dielectric layer;
depositing a second HK dielectric layer over the first HK dielectric layer, wherein the second HK dielectric layer has a second dielectric constant; and
performing an annealing process to the first HK dielectric layer and the second HK dielectric layer such that the dopant diffuses into the first HK dielectric layer and the second HK dielectric layer to form a modified HK dielectric stack, wherein the modified HK dielectric stack has a third dielectric constant which is different than the first dielectric constant and the second dielectric constant.

12. The method of claim 11, further comprising:

after performing the annealing process, forming a metal gate (MG) over the modified HK dielectric stack.

13. The method of claim 11, wherein the dopant includes one or more materials from the group consisting of AlCl3, Al(OH)3, Al2(SO4)3, Al(NO3)3, yttrium (Y), lanthanum (La) and gadolinium (Gd).

14. The method of claim 11, wherein the chemical solution includes one or more materials from the group consisting of de-ionized water (DIW), isopropyl alcohol (IPA) and organic solvent.

15. The method of claim 11, further comprising:

after applying a chemical solution carrying a dopant to the first HK dielectric layer, applying an oxidizer solution to the first HK dielectric layer, wherein the oxidizer solution includes hydrogen peroxide.

16. The method of claim 11, wherein the third dielectric constant is greater than the first dielectric constant and the second dielectric constant.

17. A method comprising:

forming a dummy gate stack over a fin feature;
removing the dummy gate stack to form a gate trench that exposes a portion of the fin structure;
depositing a first high-k (HK) dielectric layer to wrap over the exposed portion of the fin structure in the gate trench, wherein the first HK dielectric layer has a first dielectric constant;
applying a chemical solution carrying a dopant to the first HK dielectric layer, wherein the dopant is absorbed by the first HK dielectric layer;
depositing a second HK dielectric layer over the first HK dielectric layer, wherein the first HK dielectric layer has a second dielectric constant;
performing an annealing process to the first HK dielectric layer and the second HK dielectric layer such that the dopant diffuses into the first HK dielectric layer and the second HK dielectric layer to form a modified HK dielectric stack, wherein the modified HK dielectric layer stack has a third dielectric constant which is greater than the first dielectric constant and the second dielectric constant; and
forming a metal gate (MG) over the modified HK dielectric stack.

18. The method of claim 17, wherein the dopant includes one or more materials from the group consisting of AlCl3, Al(OH)3, Al2(SO4)3, Al(NO3)3, yttrium (Y), lanthanum (La) and gadolinium (Gd).

19. The method of claim 17, wherein the chemical solution includes one or more materials from the group consisting of de-ionized water (DIW), isopropyl alcohol (IPA) and organic solvent.

20. The method of claim 17, further comprising:

after applying a chemical solution carrying a dopant to the first HK dielectric layer, applying an oxidizer solution to the first HK dielectric layer, wherein the oxidizer solution includes hydrogen peroxide.
Patent History
Publication number: 20160181108
Type: Application
Filed: Dec 23, 2014
Publication Date: Jun 23, 2016
Patent Grant number: 10134871
Inventors: Andrew Joseph Kelly (Hsin-Chu), Yusuke Oniki (Hsin-Chu)
Application Number: 14/581,422
Classifications
International Classification: H01L 21/28 (20060101); H01L 29/66 (20060101);