CONTACT STRUCTURE OF SEMICONDUCTOR DEVICE
The invention relates to a contact structure of a semiconductor device. An exemplary structure for a semiconductor device comprises an insulation region over a substrate; a gate electrode layer over the insulation region comprising a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
This application is a divisional application of U.S. patent application Ser. No. 13/730,052, entitled “Contact Structure of Semiconductor Device,” filed Dec. 28, 2012, which application is herein incorporated by reference in its entirety.
TECHNICAL FIELDThis disclosure relates to integrated circuit fabrication, and more particularly to a semiconductor device with a contact structure.
BACKGROUNDAs the semiconductor industry has progressed into nanometer technology process nodes in pursuit of higher device density, higher performance, and lower costs, challenges from both fabrication and design issues have resulted in the development of three-dimensional designs of a semiconductor device, such as a fin field effect transistor (FinFET). A typical FinFET is fabricated with a thin vertical “fin” (or fin structure) extending from a substrate formed by, for example, etching away a portion of a silicon layer of the substrate. The channel of the FinFET is formed in this vertical fin. A gate is provided over three sides (e.g., wrapping) the fin. Having a gate on both sides of the channel allows gate control of the channel from both sides. In addition, strained materials in source/drain (S/D) portions of the FinFET utilizing selectively grown silicon germanium may be used to enhance carrier mobility.
However, there are challenges to implementation of such features and processes in complementary metal-oxide-semiconductor (CMOS) fabrication. For example, parasitic capacitance between S/D contact structure and the gate significantly increases if the gate wraps the fin, thereby degrading the device performance.
The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
It is understood that the following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Referring to
The method 100 continues with step 110 in which an inter-layer dielectric (ILD) layer surrounding the gate electrode layer is formed over the insulation region. The method 100 continues with step 112 in which a first opening and a second opening are formed in the ILD layer over the insulation region, wherein a distance between a middle line of the first opening and the gate middle line are different from a distance between a middle line of the second opening and the gate middle line. The method 100 continues with step 114 in which a metal layer is formed in the first opening to form a first contact structure and in the second opening to form a second contact structure. The discussion that follows illustrates embodiments of semiconductor devices that can be fabricated according to the method 100 of
It is noted that, in some embodiments, the performance of the operations mentioned in
Referring to
In at least one embodiment, the substrate 20 comprises a crystalline silicon substrate (e.g., wafer). The substrate 20 may comprise various doped regions depending on design requirements (e.g., p-type substrate or n-type substrate). In some embodiments, the doped regions may be doped with p-type or n-type dopants. For example, the doped regions may be doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; and/or combinations thereof. The doped regions may be configured for an n-type FinFET, or alternatively configured for a p-type
The substrate 20 may alternatively be made of some other suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as gallium arsenide, silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. Further, the substrate 20 may include an epitaxial layer (epi-layer), may be strained for performance enhancement, and/or may include a silicon-on-insulator (SOI) structure.
Still referring to
The fin structure 202 is formed using any suitable process comprising various deposition, photolithography, and/or etching processes. An exemplary photolithography process may include forming a photo-sensitive layer overlying the substrate 20 (e.g., on a silicon layer), exposing the photo-sensitive layer to a pattern, performing a post-exposure bake process, and developing the photo-sensitive layer to form a masking element including the photo-sensitive layer. The silicon layer may then be etched using reactive ion etching (RIE) processes and/or other suitable processes to form trenches 206a with a major surface 20s of the substrate 20. Each portion of the substrate 20 between trenches 206a forms one semiconductor fin. In the depicted embodiment, the fin structure 202 (comprising the first fin 202a of the substrate 20 and the second fin 202b of the substrate 20) extends above the major surface 20s of the substrate 20 comprising the fin top surfaces 202t. The photo-sensitive layer is then removed. Next, a cleaning may be performed to remove a native oxide of the semiconductor substrate 20. The cleaning may be performed using diluted hydrofluoric (DHF) acid.
Liner oxide (not shown) is then optionally formed in the trenches 206a. In an embodiment, liner oxide may be a thermal oxide having a thickness ranging from about 20 Å to about 500 Å. In some embodiments, liner oxide may be formed using in-situ steam generation (ISSG) and the like. The formation of liner oxide rounds corners of the trenches 206a, which reduces the electrical fields, and hence improves the performance of the resulting integrated circuit.
In the depicted embodiment, the trenches 22 are filled with a dielectric material to form the isolation regions 204 to define and electrically isolate the various fins of the fin structure 202. In one example, the isolation regions 204 include shallow trench isolation (STI) regions. The isolation regions 204 comprise silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-K dielectric material, and/or combinations thereof. The isolation regions 204, and in the present embodiment, the STI regions 204, may be formed by any suitable process. As one example, the formation of the STI regions 204 may include filling trenches between the fins (for example, using a chemical vapor deposition process) with a dielectric material. In some embodiments, the filled trench may have a multi-layer structure such as a thermal oxide liner layer filled with silicon nitride or silicon oxide.
A chemical mechanical polish is then performed until the top surface of the fin structure 202 is exposed or reached, followed by recessing the dielectric material by an etching step, resulting in recesses 206b to expose upper portions 202u of the fin structure 202 to form the isolation regions 204. Each of the upper portions 202u of the fin structure 202 comprises two source/drain (S/D) portions 202s, 202d and a channel portion 202c between the two S/D regions 202s, 202d. As such, the insulation region 204 is above the substrate major surface 20s between the first fin 202a and second fin 202b comprising an insulation top surface 204s lower than the fin top surfaces 202t. In one embodiment, the etching step may be performed using a wet etching process, for example, by dipping the substrate 202 in hydrofluoric acid (HF). In another embodiment, the etching step may be performed using a dry etching process, for example, the dry etching process may be performed using CHF3 or BF3 as etching gases.
As shown in
In some embodiments, the gate electrode layer 214 is over a gate dielectric layer 212. The gate electrode layer 214 and the gate dielectric layer 212 form a gate stack 210. In some embodiments, a pair of sidewall spacers 216 is formed on two sides of the gate stack 210. In the depicted embodiment, the gate stack 210 may be formed using any suitable process, including the processes described herein.
In one example, the gate dielectric layer 212 and gate electrode layer 214 are sequentially deposited over the substrate 20. In some embodiments, the gate dielectric layer 212 may include silicon oxide, silicon nitride, silicon oxy-nitride, or high dielectric constant (high-k) dielectric. High-k dielectrics comprise metal oxides. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu and mixtures thereof. In the present embodiment, the gate dielectric layer 212 is a high-k dielectric layer with a thickness in the range of about 10 angstroms to about 30 angstroms. The gate dielectric layer 212 may be formed using a suitable process such as atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof. The gate dielectric layer 212 may further comprise an interfacial layer (not shown) to reduce damage between the gate dielectric layer 212 and the fin structure 202. The interfacial layer may comprise silicon oxide.
In some embodiments, the gate electrode layer 214 may comprise a single-layer or multilayer structure. In at least one embodiment, the gate electrode layer 214 comprises poly-silicon. Further, the gate electrode layer 214 may be doped poly-silicon with the uniform or non-uniform doping. In an alternative embodiment, the gate electrode layer 214 comprises N-work function metal. The N-work function metal comprises a metal selected from a group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, and Zr. In an alternative embodiment, the gate electrode layer 214 comprises P-work function metal. The P-work function metal comprises a metal selected from a group of TiN, WN, TaN, and Ru. In the present embodiment, the gate electrode layer 214 comprises a thickness in the range of about 30 nm to about 60 nm. The gate electrode layer 214 may be formed using a suitable process such as ALD, CVD, PVD, plating, or combinations thereof.
Then, a layer of photoresist (not shown) is formed over the gate electrode layer 214 by a suitable process, such as spin-on coating, and patterned to form a patterned photoresist feature by a proper lithography patterning method. In at least one embodiment, a width of the patterned photoresist feature is in the range of about 5 nm to about 45 nm The patterned photoresist feature can then be transferred using a dry etching process to the underlying layers (i.e., the gate electrode layer 214 and the gate dielectric layer 212) to form the gate stack 210. The photoresist layer may be stripped thereafter.
Still referring to
In some embodiments, the strained material 208 comprises Si, Ge, SiGe, SiC, SiP, or III-V semiconductor material. In the depicted embodiment, a pre-cleaning process may be performed to clean the S/D portions 202s, 202d with HF or other suitable solution. Then, the strained material 208 such as silicon germanium (SiGe) is selectively grown by a low-pressure CVD (LPCVD) process on the S/D portions 202s, 202d. In the depicted embodiment, the LPCVD process is performed at a temperature of about 400 to about 800° C. and under a pressure of about 1 to about 15 Torr, using SiH2Cl2, HCl, GeH4, B2H6, and H2 as reaction gases.
As depicted in
The ILD layer 218 comprises a dielectric material. The dielectric material may comprise silicon oxide, silicon nitride, silicon oxynitride, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), spin-on glass (SOG), fluorinated silica glass (FSG), carbon doped silicon oxide (e.g., SiCOH), and/or combinations thereof. In some embodiments, the ILD layer 218 may be formed over the strained material 208 by CVD, high density plasma (HDP) CVD, sub-atmospheric CVD (SACVD), spin-on, sputtering, or other suitable methods. In the present embodiment, the ILD layer 218 has a thickness in the range of about 4000 Å to about 8000 Å. It is understood that the ILD layer 218 may comprise one or more dielectric materials and/or one or more dielectric layers.
Subsequently, the ILD layer 218 is planarized using a CMP process until a top surface 214s of the gate electrode layer 214 is exposed or reached (shown in
The process steps up to this point have provided the substrate 20 having the ILD layer 218 over the two S/D portions 202s, 202d. In some applications, two symmetrical S/D contact structures on two sides of the gate electrode layer 214 are formed through the ILD layer 218 to provide electrical contacts to the S/D portions 202s, 202d of the FinFET 200. However, if the gate electrode layer 214 wraps the fin 202 and has a design-rule distance to the two symmetrical S/D contact structures, parasitic capacitance between the S/D contact structures and the gate electrode layer 214 significantly increases and thus degrades the device performance.
Accordingly, the processing discussed below with reference to
Subsequent CMOS processing steps applied to the FinFET 200 of
As one example, the formation of the openings 222 includes forming a layer of photoresist (not shown) over the ILD layer 218 by a suitable process, such as spin-on coating, patterning the layer of photoresist to form a patterned photoresist feature by a proper lithography method, etching the exposed ILD layer 218 (for example, by using a dry etching, wet etching, and/or plasma etching process) to remove portions of the ILD layer 218 to expose a portion of the strained material 208 over the insulation regions 204. The patterned photoresist layer may be stripped thereafter.
In some embodiments, the metal layer 224 comprises W, Al, or Cu. In some embodiments, the metal layer 224 may be formed by CVD, PVD, plating, ALD, or other suitable technique. In some embodiment, the metal layer 224 may comprise a laminate.
The laminate may further comprise a barrier metal layer, a linear metal layer or a wetting metal layer. Further, the thickness of the metal layer 224 will depend on the depth of the openings 222. The metal layer 224 is thus deposited until the openings 222 are substantially filled or over-filled. Then, another CMP is performed to remove a portion of the metal layer 224 outside of the openings 222, the CMP process may stop when reaching the ILD layer 218, and thus providing a substantially planar surface.
In some embodiments, the semiconductor device 200 comprises the insulation region 204 over the substrate 20; the gate electrode layer 214 over the insulation region 204 comprising the gate middle line 214m; the first contact structure 220a over the insulation region 204 adjacent to the gate electrode layer 214 comprising the first middle line 222m, wherein the first middle line 222m and the gate middle line 214m has the first distance D1; and the second contact structure 220b over the insulation region 204 on a side of the gate electrode layer 214 opposite to the first contact structure 220a comprising the second middle line 222n, wherein the second middle line 222n and the gate middle line 214m has the second distance D2 greater than the first distance D1.
In some embodiments, the FinFET 200 comprises the substrate 20 comprising the major surface 20s; the first fin 202a of the substrate 20 and the second fin 202b of the substrate 20 extending above the substrate major surface 20s comprising fin top surfaces 202t; the insulation region 204 above the substrate major surface 20s between the first fin 202a and second fin 202b comprising the insulation top surface 204s lower than the fin top surfaces 202t; the gate electrode layer 214 over the first fin 202a and the second fin 202b and extending over insulation region 204, wherein the portion of the gate electrode layer 214 over insulation region 204 comprises the gate middle line 214m; the first contact structure 220a over the insulation region 204 adjacent to the gate electrode layer 214 comprising the first middle line 222m, wherein the first middle line 222m and the gate middle line 214m has a first distance D1; and the second contact structure 220b over the insulation region 204 on a side of the gate electrode layer 214 opposite to the first contact structure 220a comprising the second middle line 222n, wherein the second middle line 222n and the gate middle line 214m has a second distance D2 greater than the first distance D1.
In some embodiments, a ratio of the second distance D2 to the first distance D1 is from about 1.1 to about 5. In some embodiments, the gate electrode layer 214 comprises a gate bottom surface 214b and the first contact structure 220a comprises a first bottom surface 220c higher than the gate bottom surface 214b, wherein a first height H1 between the first bottom surface 220c and the gate bottom surface 214b is in the range of about 1 to about 50 nm. In some embodiments, the gate electrode layer 214 comprises a gate bottom surface 214b and the second contact structure 220b comprises a second bottom surface 220d higher than the gate bottom surface 214b, wherein a second height H2 between the second bottom surface 220d and the gate bottom surface 214b is in the range of about 1 to about 50 nm. In some embodiments, a semiconductor layer (i.e. the strained material 208) is between the first contact structure 220a and the insulation region 204.
In the depicted embodiment, the gate stack 210 is fabricated using a gate-first process. In an alternative embodiment, the gate stack 210 may be fabricated using a gate-last process performed by first forming a dummy gate stack. In some embodiments, the gate-last process comprises forming an ILD layer surrounding the dummy gate stack, removing a dummy gate electrode layer to form a trench in the ILD layer, then fill the trench with a conductive gate electrode layer. In some embodiments, the gate-last process comprises forming an ILD layer surrounding the dummy gate stack, removing a dummy gate electrode layer and a dummy gate dielectric layer to form a trench in the ILD layer, then fill the trench with a gate dielectric layer and a conductive gate electrode layer.
After the steps shown in
In accordance with embodiments, a semiconductor device comprises an insulation region over a substrate; a gate electrode layer over the insulation region comprising a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
In accordance with another embodiments, a fin field effect transistor (FinFET) comprises a substrate comprising a major surface; a first fin of the substrate and a second fin of the substrate extending above the substrate major surface comprising fin top surfaces; an insulation region above the substrate major surface between the first fin and second fin comprising an insulation top surface lower than the fin top surfaces; a gate electrode layer over the first fin and the second fin and extending over insulation region, wherein a portion of the gate electrode layer over insulation region comprises a gate middle line; a first contact structure over the insulation region adjacent to the gate electrode layer comprising a first middle line, wherein the first middle line and the gate middle line has a first distance; and a second contact structure over the insulation region on a side of the gate electrode layer opposite to the first contact structure comprising a second middle line, wherein the second middle line and the gate middle line has a second distance greater than the first distance.
In accordance with another embodiments, a method of fabricating a semiconductor device comprises providing a substrate; forming a first fin of the substrate and a second fin of the substrate extending above a major surface of the substrate comprising fin top surfaces; forming an insulation region above the substrate major surface between the first fin and second fin comprising an insulation top surface lower than the fin top surfaces; forming a gate electrode layer over channel portions of the first fin and the second fin and extending over a portion of the insulation region, wherein a portion of the gate electrode layer over the portion of insulation region comprises a gate middle line; forming an inter-layer dielectric (ILD) layer surrounding the gate electrode layer over the insulation region; forming a first opening and a second opening in the ILD layer over the insulation region, wherein a distance between a middle line of the first opening and the gate middle line is different from a distance between a middle line of the second opening and the gate middle line; and forming a metal layer in the first opening to form a first contact structure and in the second opening to form a second contact structure.
In accordance with another embodiment, a semiconductor device is provided. The semiconductor device includes a first fin and a second fin over a substrate, the first fin and the second fin having an elongated axis running in a first direction. The semiconductor device also includes an insulation region extending between the first fin and the second fin, the first fin and the second fin extending above the insulation region, a gate electrode over the insulation region and the first fin and the second fin, and spacers alongside opposing sidewalls of the gate electrode. The semiconductor device further includes a first source/drain region in the first fin and the second fin, the first source/drain region extending laterally away from the gate electrode, a second source/drain region in the first fin and the second fin, the second source/drain region extending laterally away from the gate electrode, a first contact structure to the first source/drain region, the first contact structure extending from laterally above the first fin to laterally above the second fin, wherein the first contact structure is spaced apart from a first closest sidewall of the gate electrode by a first distance, the first source/drain region having a uniform dopant concentration from a first spacer of the spacers to the first contact structure, and a second contact structure to the second source/drain region, the second contact structure extending from laterally above the first fin to laterally above the second fin, wherein the second contact structure is spaced apart from a second closest sidewall of the gate electrode by a second distance, the second distance less than the first distance, the second source/drain region having a uniform dopant concentration from a second spacer of the spacers to the second contact structure.
In accordance with another embodiment, a semiconductor device is provided. The semiconductor device includes a first fin and a second fin, an insulation region interposed between the first fin and the second fin, a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region, a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin, and a first source/drain region and a second source/drain region in the semiconductor layer, the first source/drain region and the second source/drain region on opposing sides of the gate electrode. The semiconductor device further includes an inter-layer dielectric (ILD) layer over the semiconductor layer, and a first contact extending through the ILD layer to the first source/drain region and a second contact extending through the ILD layer to the second source/drain region, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
In accordance with another embodiment, a method of forming a semiconductor device is provided. The method includes forming a first fin and a second fin, forming an insulation region interposed between the first fin and the second fin, forming a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region, forming a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin, and forming a first source/drain region and a second source/drain region in the semiconductor layer on opposing sides of the gate electrode. The method further includes forming an inter-layer dielectric (ILD) layer over the semiconductor layer, forming a first opening extending through the ILD layer to the first source/drain region and a second opening extending through the ILD layer to the second source/drain region, and forming a first contact in the first opening and a second contact in the second opening, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
While the invention has been described by way of example and in terms of the preferred embodiments, it is to be understood that the invention is not limited to the disclosed embodiments. To the contrary, it is intended to cover various modifications and similar arrangements (as would be apparent to those skilled in the art). Therefore, the scope of the appended claims should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements.
Claims
1. A semiconductor device comprising:
- a first fin and a second fin over a substrate, the first fin and the second fin having an elongated axis running in a first direction;
- an insulation region extending between the first fin and the second fin, the first fin and the second fin extending above the insulation region;
- a gate electrode over the insulation region and the first fin and the second fin;
- spacers alongside opposing sidewalls of the gate electrode;
- a first source/drain region in the first fin and the second fin, the first source/drain region extending laterally away from the gate electrode;
- a second source/drain region in the first fin and the second fin, the second source/drain region extending laterally away from the gate electrode;
- a first contact structure to the first source/drain region, the first contact structure extending from laterally above the first fin to laterally above the second fin, wherein the first contact structure is spaced apart from a first closest sidewall of the gate electrode by a first distance, the first source/drain region having a uniform dopant concentration from a first spacer of the spacers to the first contact structure; and
- a second contact structure to the second source/drain region, the second contact structure extending from laterally above the first fin to laterally above the second fin, wherein the second contact structure is spaced apart from a second closest sidewall of the gate electrode by a second distance, the second distance less than the first distance, the second source/drain region having a uniform dopant concentration from a second spacer of the spacers to the second contact structure.
2. The semiconductor device of claim 1, further comprising a semiconductor layer over the first fin and the second fin, wherein the first source/drain region and the second source/drain region comprise the semiconductor layer.
3. The semiconductor device of claim 2, wherein an upper surface of the semiconductor layer is higher than a lower surface of the spacers.
4. The semiconductor device of claim 1, wherein the first contact structure is a drain contact and the second contact structure is a source contact.
5. The semiconductor device of claim 1, wherein a ratio of the first distance to the second distance is from about 1.1 to about 5.
6. The semiconductor device of claim 1, wherein the gate electrode comprises a gate bottom surface and the first contact structure comprises a first bottom surface higher than the gate bottom surface.
7. The semiconductor device of claim 6, wherein a first height between the first bottom surface and the gate bottom surface is in a range of about 1 nm to about 50 nm.
8. The semiconductor device of claim 1, wherein the gate electrode comprises a gate bottom surface and the second contact structure comprises a second bottom surface higher than the gate bottom surface.
9. The semiconductor device of claim 8, wherein a second height between the second bottom surface and the gate bottom surface is in a range of about 1 nm to about 50 nm.
10. A semiconductor device comprising:
- a first fin and a second fin;
- an insulation region interposed between the first fin and the second fin;
- a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region;
- a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin;
- a first source/drain region and a second source/drain region in the semiconductor layer, the first source/drain region and the second source/drain region on opposing sides of the gate electrode;
- an inter-layer dielectric (ILD) layer over the semiconductor layer; and
- a first contact extending through the ILD layer to the first source/drain region and a second contact extending through the ILD layer to the second source/drain region, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
11. The semiconductor device of claim 10, wherein the first contact is a source contact and the second contact is a drain contact.
12. The semiconductor device of claim 10, wherein a ratio of the second distance to the first distance is from about 1.1 to about 5.
13. The semiconductor device of claim 10, wherein a lattice constant of the semiconductor layer is different than a lattice constant of the first fin and the second fin.
14. The semiconductor device of claim 10, further comprising a semiconductor capping layer over the first fin and the second fin.
15. The semiconductor device of claim 14, wherein the semiconductor capping layer comprises a silicon capping layer.
16. A method of forming a semiconductor device, the method comprising:
- forming a first fin and a second fin;
- forming an insulation region interposed between the first fin and the second fin;
- forming a gate electrode over channel portions of the first fin and the second fin, the gate electrode extending over a portion of the insulation region;
- forming a semiconductor layer over the first fin and the second fin on opposing sides of the gate electrode, the semiconductor layer extending between the first fin and the second fin;
- forming a first source/drain region and a second source/drain region in the semiconductor layer on opposing sides of the gate electrode;
- forming an inter-layer dielectric (ILD) layer over the semiconductor layer;
- forming a first opening extending through the ILD layer to the first source/drain region and a second opening extending through the ILD layer to the second source/drain region; and
- forming a first contact in the first opening and a second contact in the second opening, wherein a first distance between a middle of the first contact and a gate of the gate electrode is less than a second distance between a middle of the second contact and the middle of the gate electrode, the first source/drain region having a uniform dopant profile from the first contact to a first gate spacer, the second source/drain region having a uniform dopant profile from the second contact to a second gate spacer.
17. The method of claim 16, wherein a ratio of the second distance to the first distance is from about 1.1 to about 5.
18. The method of claim 16, wherein forming the semiconductor layer comprises epitaxially growing a strained material over the first fin and the second fin after forming the gate electrode, the strained material extending over the insulation region, wherein a lattice constant of the strained material is different from a lattice constant of the first fin and the second fin.
19. The method of claim 18, wherein the strained material is separated from the gate electrode by a gate spacer.
20. The method of claim 16, wherein forming the first contact comprises forming the first contact such that the first contact overlaps at least a portion of the first fin and the second fin.
Type: Application
Filed: Jul 18, 2016
Publication Date: Nov 10, 2016
Inventors: Hsiang-Jen Tseng (Hsin-Chu), Ting-Wei Chiang (New Taipei City), Wei-Yu Chen (Hsin-Chu), Kuo-Nan Yang (Hsin-Chu), Ming-Hsiang Song (Shin-Chu City), Ta-Pen Guo (Taipei City)
Application Number: 15/212,969