REACTIVE CURING PROCESS FOR SEMICONDUCTOR SUBSTRATES

In some embodiments, a reactive curing process may be performed by exposing a semiconductor substrate in a process chamber to an ambient containing hydrogen peroxide, with the pressure in the process chamber at about 300 Torr or less. In some embodiments, the residence time of hydrogen peroxide molecules in the process chamber is about five minutes or less. The curing process temperature may be set at about 500° C. or less. The curing process may be applied to cure flowable dielectric materials and may provide highly uniform curing results, such as across a batch of semiconductor substrates cured in a batch process chamber.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
REFERENCE TO RELATED APPLICATION

This application is a continuation of U.S. patent application Ser. No. 14/718,517, filed May 21, 2015, which claims the priority benefit under 35 U.S.C. §119(e) of U.S. Provisional Application No. 62/008,404, filed Jun. 5, 2014, the entire disclosure of which is incorporated herein by reference.

FIELD

This disclosure relates to semiconductor processing and, more particularly, to a reactive curing processes.

BACKGROUND

Oxidizing ambients are commonly used in semiconductor processing. For example, oxidizing ambients may be used to supply oxygen to cure materials on a semiconductor substrate. These oxidizing ambients have conventionally included oxygen, steam, or ozone. As process parameters and materials change, there is a continuing need for the development of processes with oxidizing ambients that meet the challenges presented by the changing parameters and materials.

SUMMARY

In some embodiments, a method for semiconductor processing is provided. A semiconductor substrate in a process chamber is exposed to an ambient containing H2O2. During the exposure, the pressure in the process chamber is at about 300 Torr or below. In some embodiments, the pressure is about 150 Torr or below.

In some embodiments, a method for semiconductor processing is provided in which a semiconductor substrate is provided in a process chamber. H2O2 species are flowed into the process chamber to expose the semiconductor substrate to an H2O2 ambient. Simultaneously with flowing the H2O2 species into the process chamber, gases are exhausted from the process chamber. The conditions of flow, chamber pressure and chamber temperature are such that the average residence time of the H2O2 species in the reaction chamber is below about 5 minutes, or below about 2 minutes.

In some embodiments, a method of manufacturing a semiconductor device on a semiconductor substrate is provided. The method comprises depositing a flowable dielectric film on the substrate using a carbon-free silicon source and a remote NH3 plasma, without addition of oxygen to form a low oxygen content film. The substrate with the low oxygen content film is loaded into a process chamber. The substrate is exposed to hydrogen peroxide to cure the low oxygen content film. In some embodiments, exposing the substrate to hydrogen peroxide is performed within about 25 minutes, or within about 8 minutes, of completing loading the substrate. In some embodiments, the low oxygen content film may have less than about 10%, less than about 3%, or less than about 1% oxygen.

The exposure to the hydrogen peroxide ambient may be utilized to add oxygen to materials on the semiconductor substrates, for example, providing a reactive cure of flowable dielectric materials.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1 shows FTIR scans of flowable dielectric films cured at 300° C. with, respectively, hydrogen peroxide and steam.

FIG. 2 shows FTIR scans of flowable dielectric films cured at 400° C. with, respectively, hydrogen peroxide and steam.

FIG. 3 shows FTIR scans of flowable dielectric films cured at 500° C. with, respectively, hydrogen peroxide and steam.

FIG. 4 shows a graph of the density of a flowable dielectric material film as a function of curing temperature for hydrogen peroxide and steam cures.

FIG. 5 shows a graph of the density of three different types of flowable dielectric materials as a function of curing temperature.

FIG. 6 shows a Scanning Electron Micrograph (SEM) of a flowable dielectric material with polymerization defects caused by out-gassing.

FIG. 7 shows a graph of the density of Si—H bonds and dangling bonds as a function of anneal temperature.

FIG. 8 shows a graph comparing Wet Etch Rate Ratios (WERRs) for films that were not anneal and films that were annealed.

FIG. 9 is a semiconductor processing system, according to some embodiments.

DETAILED DESCRIPTION

The fabrication of semiconductor devices can involve the curing of materials on a semiconductor substrate or wafer. In a reactive curing process, chemical species may be removed from the materials and some chemical species may also be added to those materials. Some curing process may be performed by exposing the semiconductor substrates to an oxidizing ambient in a process chamber at atmospheric pressure. Due to various factors, such as compatibility with and guarding against damage to materials on semiconductor substrates, it may be desirable to use low temperatures for curing materials, such as below about 500° C., or below about 400° C., or even below about 300° C. It has been found, however, that as temperatures for the curing process decrease, the reactivity of oxidizing species in the oxidizing ambient may also decrease and conventional oxidizers, such as oxygen, ozone and/or water, may not be sufficiently reactive.

Hydrogen peroxide, H2O2, provides a higher effective reactivity than oxygen, steam, or ozone for use in curing processes, particularly for those cures using low temperature oxidizing ambients. A cure using hydrogen peroxide may be reactive at temperatures of about 500° C. or below. However, the use of hydrogen peroxide to establish an oxidizing ambient has been found to cause unacceptably non-uniform curing results.

Conventionally, for ease of processing, curing processes have been performed at atmospheric pressure. Without being limited by theory, it has been found that such pressures may actually cause the non-uniform curing results. This is believed to be due to the relatively high reactivity of the hydrogen peroxide, in combination with a relatively limited life time of the H2O2 molecule, in comparison to some conventional oxidizers.

Advantageously, in some embodiments, highly uniform curing results may be achieved using hydrogen peroxide. In some embodiments, a cure may be performed by exposing a semiconductor substrate in a process chamber to an ambient containing hydrogen peroxide, with the pressure in the process chamber at about 300 Torr or less, about 150 Torr or less, or about 125 Torr or less, including about 100 Torr. In some embodiments, the residence time, or average durations of particular hydrogen peroxide molecules in the process chamber—the durations between the molecules being introduced and then removed from the process chamber—is about 5 minutes or less, about 2 minutes or less, or about 1 minute or less. In some embodiments, the substrate may include a low oxygen content, flowable dielectric film and the exposure to an ambient containing hydrogen peroxide is performed directly after completing loading the substrate into the process chamber and pump-down of the system to the curing pressure, e.g., within about 25 minutes, within about 15 minutes, or within about 8 minutes of the completion of loading. In some embodiments, for the above noted pressures and/or residence times, the curing process temperature may be set at about 500° C. or less, about 400° C. or less, or about 300° C. or less, while also being higher than about 50° C., about 100° C., or about 150° C. Advantageously, in some embodiments, the hydrogen peroxide ambient provides curing results that provide a high degree of uniformity over the wafer, with film properties such as refractive index and etch rate having a non-uniformity of 5% 1 sigma or less, 2% 1 sigma or less, or more preferably 1% 1 sigma or less.

The curing processes may be performed in various types of process chambers and have particular benefits in the large volumes of batch process chambers. In some embodiments, the batch process chambers may be configured to accommodate 20 or more, 50 or more, or 100 or more semiconductor substrates.

Without being limited by theory, it is believed that a low pressure and/or low residence time, as disclosed herein, can provide various advantages. For example, a first advantage of the low pressure is that the residence time of hydrogen peroxide species can be made shorter, with the low pressure allowing the hydrogen peroxide species to move more quickly and freely through the process chamber. As a result, the impact of the decomposition of the peroxide species on the partial pressure of hydrogen peroxide in the process chamber is reduced and a higher concentration and more uniform distribution of hydrogen peroxide species can be established throughout the volume of the process chamber.

A second advantage of the low process pressure and quick movement of hydrogen peroxide species through the process chamber is that the transport of all chemical species in the gas phase may also be quick. Not only is the diffusion transport of the reactive hydrogen peroxide at a high level, but so is the transport of chemical species, such as nitrogen-containing species, escaping from the material to be cured. Therefore, the effective partial pressure of the escaping species is lower in the atmosphere directly adjacent to the material, resulting in more effective curing and removal of those species. Due to the improved diffusion transport, in batch process chambers, a smaller substrate pitch (the distance between substrates held in the process chamber) can be applied, resulting in a larger batch size in the process chambers, thereby improving manufacturing efficiencies without affecting the uniformity of the curing results.

A third advantage of the low curing pressure is that the effective pressure of the hydrogen peroxide may be set higher than in cures with a higher process chamber pressure. The thermal decomposition of hydrogen peroxide is an exothermic reaction and, in the case of a run-away decomposition reaction, the pressure in the reactor will substantially increase since the decomposition of the H2O2 may result in an increase of the number of gas molecules by 50% (2H2O2→2 H2O+O2), in combination with the thermal expansion of the gas due to the amount of heat released by the reaction. Therefore, the lower process pressures provided by some embodiments can be safer than curing processes performed at conventional pressures.

In some embodiments, the hydrogen peroxide cure may be followed by an anneal at higher temperature, e.g., such that an exposed film is subjected to the hydrogen peroxide cure and then to the anneal. The anneal is preferably conducted in inert gas, such that the substrate is accommodated in an inert gas ambient. In some embodiments, the hydrogen peroxide cure is performed at about 500° C. or below, and the anneal is performed at higher temperature, e.g., above 500° C. More preferably, the hydrogen peroxide cure is performed at about 300° C. or below, and the anneal is performed at higher temperature of about 400-800° C. In some embodiments, the anneal may be followed by another hydrogen peroxide cure, such that the exposed film is subjected to a hydrogen peroxide cure after the anneal. Without being limited by theory, it is believed that the anneal advantageously removes hydrogen from the film and further improves the density of the hydrogen peroxide cured film, without oxidizing the underlying substrate. Also without being limited by theory, it is believed that the film may show increased susceptibility for oxidation after the anneal, and performing the subsequent hydrogen peroxide cure after the anneal may be beneficial in view of this increased susceptibility.

In some embodiments, the anneal may be performed in an atmosphere that includes inert gas and oxygen, e.g., a small percentage or trace amounts of oxygen. Depending on the temperature and duration of the inert gas anneal, oxygen may be tolerated without significant oxidation of the underlying substrate due to the limited reactivity of oxygen at these temperatures and durations.

In some embodiments, a substrate is exposed to H2O2 during a hydrogen peroxide cure for a process time of about 10 minutes to about 10 hours, about 20 minutes to about 6 hours, or about about 30 minutes to about 3 hours.

It will be appreciated that the concentration of H2O2 delivered from H2O2 source containers may vary over time. In some embodiments, H2O2 is provided to the process chamber using a supply system described in a related application of the present Applicant: U.S. Provisional Patent Application No. 61/972,005, entitled METHOD AND SYSTEM FOR DELIVERING HYDROGEN PEROXIDE TO A SEMICONDUCTOR PROCESSING CHAMBER and filed Mar. 5, 2014, the entire disclosure of which is incorporated herein by reference. As discussed in that provisional patent application, the H2O2 may be metered as a liquid upstream of the process chamber, and the liquid may then be evaporated in an evaporator, and flowed into the process chamber. The evaporation occurs at a temperature, e.g., about 120° C. or less, or about 120° C. to about 40° C., or about 100° C. to about 60° C., that is sufficient to evaporate the H2O2 and is also below the boiling point of the H2O2. Such an evaporation temperature has been found to provide a high level of consistency in the concentration of H2O2 delivered to the process chamber. In some embodiments, the vapor feed line between the evaporator and the processing chamber may be provided with a heater and heated, e.g., to a temperature equal to or higher than the evaporator temperature. In some embodiments, the vapor feed line may be provided with a filter, which may also be heated, e.g., to a temperature equal to or higher than the evaporator. The filter may have a removal rating of >30 nm, which is a measure of the effectiveness of the filter in relation to particle size. It has been found that such a filter can reduce the occurrence of particles on the cured semiconductor substrate.

It will be appreciated that the hydrogen peroxide-based curing process can have particular advantages for curing flowable dielectric materials. In some applications, such flowable dielectric materials can be deposited as films and may be used for seamless gap fill of structures in semiconductor devices. As an example, deposited flowable dielectric films may include silicon, nitrogen, hydrogen and/or oxygen, and, depending on the precursors used, may also include carbon. In some cases, the films may be formed by chemical vapor deposition or atomic layer deposition using a precursor comprising silicon and nitrogen, in combination with a plasma, e.g., a remote plasma, of NH3. An example of a precursor comprising silicon and nitrogen is tri-silyl amine (TSA), which is a carbon-free precursor. With such a carbon-free precursor, the resulting film will not contain any carbon or will contain only residual traces of carbon. Other silyl-amines or amino-silanes may also be used. During the deposition of the flowable dielectric film, oxygen may be added to form a film with relatively high as-deposited oxygen content. A film with a relatively high as-deposited oxygen content may also be formed by an ozone cure clustered with the deposition process and performed immediately after deposition. Alternatively, the films may be deposited without feeding oxygen into the process chamber during the deposition, to form a film with relatively low as-deposited oxygen content. In such an alternative, a film with low oxygen content may be obtained due to the incorporation of residual oxygen that is present in the process chamber and/or as residual oxygen in the gases used during the deposition. The low oxygen content film may have less than about 10%, less than about 3%, or less than about 1% oxygen. Whether the films have a relatively low or a relatively high oxygen content, after the deposition, the films may need to be cured in an oxygen-containing ambient, a hydrogen peroxide-containing ambient in some embodiments, to obtain a film with higher density and good quality.

In some embodiments, the flowable dielectric material may be modified to form a silicon dioxide material by performing a reactive cure, such as disclosed herein. During the reactive cure, carbon, hydrogen and nitrogen leave the material and oxygen (additional oxygen where the dielectric material already contains oxygen) is supplied to the material. Due to temperature limitations of and temperature sensitivities of electronic devices on the semiconductor substrates, the reactive cure is preferably performed at temperatures below about 500° C., or below about 400° C., or even below about 300° C.

Experiments

As discussed further below, various Figures document experiments for curing processes utilizing hydrogen peroxide and other oxidizers, respectively. The curing processes were performed in an A412™ vertical furnace available from ASM International N.V. of Almere, the Netherlands. The furnace has a process chamber that can accommodate a load of 150 semiconductor substrates, or wafers, having a diameter of 300 mm, with the substrates held in a wafer boat. H2O2 was provided to the process chamber using the hydrogen peroxide supply system described in U.S. Provisional Patent Application No. 61/972,005, as discussed herein.

Flowable dielectric films were deposited without addition of oxygen during the deposition, unless otherwise specified. The films were by deposited by CVD using tri-silyl amine (TSA) in combination with a NH3 remote plasma.

The as-deposited films were subjected to a curing processing in either a steam or hydrogen peroxide-containing ambient, with different batches of substrates subjected to the curing process at temperatures of 300° C., 400° C., and 500° C., respectively, for 6 hours each. A wafer boat was loaded with wafers and the wafer boat was loaded into the process chamber. The process chamber was heated to 300° C. and an oxygen flow was applied through the process chamber during loading of the wafer boat. The oxygen flow was switched off when the steam or hydrogen peroxide flow, for curing, was switched on. For some cures, as discussed herein, the process chamber was heated to temperatures higher than 300° C., and temperature stabilization occurred while an oxidizing gas was fed into the reactor. The process chamber pressure for the steam cures was atmospheric pressure, and for the H2O2 it was 100 Torr.

FIGS. 1, 2, and 3 show FTIR spectra of the flowable dielectric films after curing processes conducted at 300° C., 400° C., and 500° C., respectively, using hydrogen peroxide and steam has oxidizers. At 300° and 400° C. (FIGS. 1 and 2), the steam anneal was not effective in reducing the SiO—H bond peak at about 890 cm-1, although the steam anneal was effective in removing the Si—N bond peak at about 960 cm-1. At 500° C., the FTIR spectra of the films annealed in steam and hydrogen peroxide were similar.

The densities of the films of FIGS. 1-3 are shown in FIG. 4. For the hydrogen peroxide cure, a relatively high film density was already obtained for a curing temperature as low as 300° C. Increasing the temperature to 500° C. increases the density further. On the other hand, the steam cure at 300° C. results in a substantially lower density (about 17% lower) than the H2O2 cure at the same temperature. Only after increasing the cure temperature to 500° C., did the densities of the films, after curing in steam and hydrogen peroxide, become comparable.

In FIG. 5, film density as a function of curing time at 300° C. in hydrogen peroxide is shown for three different sets of samples. The first sample set was a flowable dielectric with relatively low, as-deposited oxygen content, deposited under the same conditions as the samples for which the experimental results are shown in FIGS. 1-4. The second and third sample sets were a flowable dielectric deposited under the same conditions as the first sample set but the second and third sets received an O3 cure immediately after deposition and before the H2O2 cure and as such had a relatively high oxygen content before the H2O2 cure. Further, as indicated in FIG. 5, for the first and second sets, an in-situ pre-condition was applied, which means that an oxygen flow was applied through the process chamber during loading of the boat into the chamber and, if applicable, during heat-up to the curing temperature if the curing temperature is higher than the loading temperature. A remarkable difference in curing behavior between the two different types of films was observed: the flowable dielectric films with low oxygen content appear to have nearly achieved the desired maximum density and to have completed the curing process after only 2 hours of curing at 300° C., whereas for the flowable dielectric film having received an O3 cure before the H2O2 cure, the H2O2 cure was not completed (that is, did not reach a similar density) after 2 hours and needed 6 hours of curing to achieve the same density. Further, the presence or absence of oxygen during the loading of the boat appears to have a significant effect on the film density: for the films with a high oxygen content the film density is lower when the boat is loaded into the furnace without oxygen added as compared to when the boat is loaded with oxygen added.

The density of as-deposited flowable dielectric films with low oxygen content without any anneals could not be measured, but, in another experiment, the density after 6 hours of curing in steam is shown in FIG. 4 and was found to be low, at 1.83 g/cm3. It is believed that the density of the as-deposited low oxygen content film may be even lower. Although the density of the flowable dielectric with high as-deposited oxygen content is initially higher (at about 2.04 g/cm3, after a few hours of exposure to ambient air) than that of the low as-deposited oxygen content material, high as-deposited oxygen content material still requires a longer curing time to achieve the maximum density in comparison to the low as-deposited oxygen content material. Consequently, it was found that it may be advantageous and may provide a shorter curing time if the flowable dielectric film were deposited with a low as-deposited oxygen concentration, and then exposed to hydrogen peroxide to increase the oxygen content and to increase the density and quality of the film, without performing any other cure, such as a cure with O3, in between the deposition of the film and the H2O2 cure. Further, it appeared to be beneficial to provide an oxygen flow during loading of the samples into the furnace.

It was found that long stabilization times such as 30 minutes at 300° C., or at higher curing temperatures, under a nitrogen flow or a mixture of nitrogen and oxygen flow resulted in lower film densities and/or longer curing times compared to processes wherein the hydrogen peroxide flow was started without such a delay. Consequently, in some embodiments, the hydrogen peroxide flow is switched on directly after completion of the loading of a substrate in the process chamber without unnecessary delay. In some embodiments, the hydrogen peroxide is flowed into the process chamber within about 25 minutes, within about 15 minutes, or within about 8 minutes of the completion of loading a substrate in the process chamber.

FIG. 6 is a Scanning Electron Micrograph of defects formed on the surface of flowable dielectric film with a low as-deposited oxygen content under conditions wherein the loading of the samples into the furnace and the ramp-up to a curing temperature of 400° C. was performed in an N2 ambient without the intentional addition of oxygen gas. Such defects may be avoided by loading of the samples into the process chamber and ramp-up to the curing temperature in an oxidizing ambient, e.g., with an oxygen flow through the process chamber, according to some embodiments.

With the process conditions noted above, the volume of the reactor used (about 160 liter) and assuming a process temperature of 300° C., the residence time of the gas in the reactor was about 44 seconds. In an exemplary process, the following conditions were used:

N2 flow 5 slm

H2O flow 7 slm

H2O2 flow 1.6 slm

Pressure 100 Torr

Temperature 100° C.-500° C.

In another set of experiments, investigations were made of the effects of annealing the flowable dielectric films after subjecting the films to a H2O2 cure. In particular, the effect of an inert gas anneal on hydrogen content and density of dangling bonds, and on etch resistance, was investigated. FIG. 7 shows a graph of the density of Si—H bonds and dangling bonds as a function of anneal temperature. It will be appreciated that the Si—H density is indicative of hydrogen content.

The flowable dielectric films were subjected to a H2O2 cure at 200° C. for 2 hours. Then the temperature was increased to an annealing temperature and the films were annealed in N2 for 0.5 hours at the annealing temperature. With reference to FIG. 7, it can be seen that starting from about 400° C. the hydrogen content decreases and from about 650° C. onwards the number of dangling bonds starts to increase. Annealing temperatures in the range from about 400° C. to about 800° C. provided usefully low hydrogen content. It will be appreciated that during both the H2O2 cure and the anneal, the flowable dielectric films were exposed and were not subjected to any other treatments (including depositions or etches) between the H2O2 cure and the anneal.

FIG. 8 shows a graph comparing Wet Etch Rate Ratios (WERRs) for films that were not anneal and films that were annealed. The anneal was conducted at 550° C. in an inert gas atmosphere. The Wet Etch Rate Ratio (WERR) was determined. It will be appreciated that the WERR is a ratio of the wet etch rate of the film under evaluation (the annealed flowable dielectric film) and the wet etch rate of thermal silicon oxide under the same etching conditions. Advantageously, a reduction in WERR of 40% was obtained.

It will be appreciated that various modifications and refinements to the embodiments disclosed herein may be made. In some embodiments, by providing a short residence time, a reduction of the H2O2 concentration due to decomposition in the process chamber is counteracted and the H2O2 concentration remains at a relatively high level. It will be appreciated that, at elevated temperatures, the hydrogen peroxide decomposes faster and the preferred residence time may be shorter. A shorter residence time can be achieved by a lower pressure and/or higher gas and vapor flows. A lower pressure will also reduce the H2O2 partial pressure and, consequently, reduce the reactivity of the curing process. In some applications, it was found that a H2O2 partial pressure below 1 Torr of the gas mixture fed to the reactor may be not sufficient for effective curing. The H2O2 partial pressure of the gas mixture fed to the reactor is preferably about 1 Torr or more, more preferably about 3 Torr or more, more preferably about 10 Torr or more, and may be up to about 60 Torr in some embodiments. Depending on the process temperature, a reactor pressure may exist where the reactive curing process is most effective. In some embodiments, with a temperature range from about 150° C. to about 350° C., it was found that a pressure in the range between about 50 to about 200 Torr was particularly effective. In one example, the process pressure may be about 100 Torr. In the lower end of the temperature region, including about 50°-150° C., in some embodiments, process pressures up to about 300 Torr may be used.

In some embodiments, the pressure in the process chamber may be reduced to the desired curing pressure. During the curing step, the curing pressure may remain substantially constant or may be varied. It was found that evacuating the process chamber to the base pressure before starting the hydrogen peroxide flow at the curing pressure had a detrimental effect on curing efficiency. Preferably, the flowable dielectric is not exposed to pressures below 10 Torr, more preferably it is not exposed to pressures below 50 Torr, before the hydrogen peroxide flow is started. In some embodiments, the curing pressure is 100 Torr and the flowable dielectric is not exposed to lower pressures than 100 Torr before it is exposed to hydrogen peroxide.

In some embodiments, the reactor temperature may be set at a low level at the start of the cure while the curing pressure can be relatively high. The relatively high pressure is believed to encourage the diffusion of reactive species into the flowable dielectric material, while the relatively low temperature prevents a top part of the top film from closing in an early stage of the cure. During the course of the cure, the temperature may be increased to achieve more complete curing while the pressure may be reduced. Consequently, it will be appreciated that the process conditions are not constant but may be dynamically adjusted during the cure.

In some other embodiments, the flowable dielectric material, disposed on a semiconductor substrate and having a relative low oxygen concentration, may be exposed to an oxidizing gas during the loading of the wafers into the reactor and/or during the heat-up to a first curing temperature. The oxidizing gas may be water, oxygen, hydrogen peroxide, or ozone. It is believed that this oxidizing gas is effective in preventing the creation of the defects such as shown in FIG. 6. Without being limited by theory, it is believed that components of the flowable dielectric materials may evaporate or out-diffuse from the material. Polymerization of those components may occur in the gas phase, creating larger species that are re-deposited on the wafer surface and that are observed as the defects shown in FIG. 6. The addition of the oxidizing gas is believed to prevent the polymerization of the species that escape into the gas phase from the dielectric material. This was evidenced by particle measurement with an SP3 particle counter of KLA-Tencor where a data overload, indicating extremely high defect concentration, was observed for flowable dielectric materials having a low as-deposited oxygen concentration that were loaded in an N2 ambient without intentional addition of oxygen. When an oxygen flow was fed to the process chamber during loading and heat-up of the chamber, it was found that the number of detected defects was reduced to very low levels.

In some embodiments, semiconductor substrates having a flowable dielectric material with a low as-deposited oxygen concentration may be loaded into the process chamber at a relatively low loading temperature, below about 300° C., below about 200° C., below about 100° C., or even below about 65° C. (while being above room temperature). The hydrogen peroxide cure is started at this low temperature and after a period of time the process chamber temperature may be increased to the required curing temperature. Not being wanted to be limited by theory, the reduced loading temperature may be sufficiently low that no significant out-diffusion or evaporation of species from the dielectric material may occur and, thus, no defects are formed. Once the oxidizing curing ambient is established in the process chamber, the process chamber temperature can be increased from the loading temperature to the curing temperature without a risk of forming defects.

In some embodiments, semiconductor substrates having a flowable dielectric material may be exposed, after a period of curing, to a low pressure vacuum of about 100 Torr or below, or about 10 Torr or below, or about 1 Torr or below. The semiconductor substrates may be exposed to the low pressure in a cycling mode, with periods of lower pressure alternated by periods of curing at higher pressure where the substrates are exposed to reactive hydrogen peroxide species. The low pressure exposures may enhance the outflow of the species which need to be removed from the dielectric material. It will be appreciated that the flow of hydrogen peroxide into the process chamber may be continued during exposure to the low pressures, in some embodiments.

In some embodiments, additional oxidizing gases may be added to the hydrogen peroxide gas. Non-limiting examples of such oxidizing gases include ozone, oxygen, water, and combinations thereof. The additional oxidizing gases may be provided in the process chamber at a constant partial pressure, or the partial pressure may be varied dynamically during the cure. In some embodiments, rather than adding the additional oxidizing gases to the hydrogen peroxide gas, the additional oxidizing gases may be provided to semiconductor substrates sequentially and alternatively with the hydrogen peroxide gas. For example, a curing cycle may be performed in which hydrogen peroxide and the additional oxidizing gas are flowed to the semiconductor substrates at different times, one after the other, and then the cycle may be repeated. Without being limited by theory, it is believed that, in some applications, one oxidizing gas may be effective in one aspect of the curing process and another oxidizing gas may be effective in another aspect of the curing process. For example, the FTIR graphs (FIGS. 1-3) indicate that steam may be effective in removing nitrogen from the flowable dielectric material, even at low temperatures, whereas hydrogen peroxide may be more effective in removing SiO—H bonds from the material.

In some other embodiments, the hydrogen peroxide curing time may be reduced, while providing high film quality, by using flowable dielectric films with a low as-deposited oxygen concentration, and/or by providing oxygen during loading of semiconductor substrates containing the flowable dielectric films into the process chamber and during heat-up, and/or by avoiding exposure of the semiconductor substrates to a pressure below the curing pressure. In some embodiments, the curing time may be about 4 hours, about 3 hours or less, or about 2 hours or less. In some embodiments, such curing times may provide a film density of about 2.075 g/cm3 or higher, or about 2.10 g/cm3 or higher.

In some embodiments, hydrogen may be added to the cure to improve the removal of the carbon and the nitrogen from the flowable dielectric material.

In some embodiments, nitrogen is not added to the process chamber during the exposure to hydrogen peroxide, or, in some cases, nitrogen is absent from any part of the curing process. Nitrogen gas may be replaced by a different inert gas, such as argon, or by oxidizing gases, such as oxygen, steam or ozone. In such an embodiment, in which nitrogen gas is replaced by an oxidizing gas, the carrier gas for the hydrogen peroxide is replaced by the oxidizing gas.

While embodiments disclosed herein may advantageously be applied to cure flowable dielectric materials, it will be appreciated that the curing process disclosed herein also may be applied to provide oxygen to various other materials. For example, the curing process may be applied for oxidation of silicon, germanium or III-V semiconductors, or for curing of low-quality films, such as low-quality silicon dioxide films.

In some embodiments, the curing process may be applied in combination with a process for depositing a silicon material, a germanium material, or a III-V semiconductor material. For example, the curing process may be integrated into the deposition in a cyclical way: after deposition of a thin film (e.g., ranging from 1 Å to 10 Å thick), the curing process may be applied to oxidize the deposited film at a relatively low temperature, and the deposition and curing steps may be repeated until an oxide film of a desired thickness is formed. For example, a 5 Å film may be deposited at 390° C. using trisilane (Si3H8) as a silicon precursor and the film may be oxidized by exposure to hydrogen peroxide, e.g., at temperatures ranging from 200° C. to 400° C. for a duration of, e.g., 0.5 hrs to 6 hrs. It was found that at the lower part of this temperature range (200° C. to 300° C.) the oxidation rate was higher than the oxidation rate in steam. Although for silicon the oxidation rate may be relatively low, for Ge and II-V semiconductors the oxidation rate is higher and the disclosed curing process using hydrogen peroxide for oxidation has the advantage of forming an oxide of relatively high quality at relatively low temperature. This low temperature oxide formation provides a significant advantage for materials that have oxides with relatively low thermal stability, such as Germanium and III-V oxides.

In some embodiments, the exposure of a substrate to H2O2 is performed at a first temperature and is followed by an anneal in an inert gas at a second temperature, which is higher than the first temperature. For example, the first temperature may be 500° C. or lower and the second temperature may be higher than 500° C.

A semiconductor processing system 200 according to some embodiments will now be discussed with reference to FIG. 9. A process liquid source 100, e.g., a source of a process liquid such as H2O2, can provide the H2O2 to a process canister 15 for storing the H2O2 in the system 200, with the H2O2 from the canister 15 eventually provided to an evaporator 24, and then to a processing chamber 30. The processing system 200 may be provided with a process controller 210, which may include a computerized system having at least one processor and memory. The computerized system may be in electrical communication with the source of process liquid 100, the process canister 15, the evaporator 24, and/or the processing chamber 30, and may be programmed with instructions to carry out any of the actions noted herein for the processing system 200 and its constituent components. The instructions may be non-transitory instructions stored in the memory accessible by the process controller 210. While shown as a single item for ease of illustration, it will be appreciated that the process controller 210 may include multiple distinct units, each providing instructions to different parts of the system 200.

With continued reference to FIG. 9, a source feed line 10 for feeding the process liquid from the source 100 to the process canister 15 can include a manual shut off valve 11, a filter 12, a pneumatic valve 13, and a check valve 14. As illustrated, just one source 100 and one source feed line 10 to feed a mixture of H2O2/H2O to the canister 15 may be provided. However, a second source of H2O and a second source feed line may be provided to separately feed H2O to the canister 15 in some embodiments. The canister 15 may be further provided with a temperature sensor 16. The canister 15 holding the liquid H2O2/H2O mixture may be held at room temperature or may be heated above room temperature to a temperature of about 80° C. or below, to facilitate evaporation of the mixture when injected into the evaporator 24.

The process liquid may be transported from the process canister 15 to the evaporator 24 through a first feed line 20. The feed line 20 may further comprise a valve 21, a valve 22, a liquid flow controller 23, and a valve 23a coupled to the flow controller 23. The evaporated process liquid is fed from the evaporator 24 to the processing chamber 30 through a second feed line 20a. The feed line 20a may further comprise a valve 25 and a filter 26. The evaporator 24 may be heated by a heater 28, and the feed line 20a, including the valve 25 and the filter 26, may be heated by a heater 29. In some embodiments, heater 29 heats the vapor feed line 20a, valve 25 and filter 26 to a temperature that is preferably within about 20° C. of the temperature of the evaporator or higher, more preferably to a temperature that is within about 10° C. of the temperature of the evaporator or higher, most preferably to a temperature that is equal to or higher than the temperature of the evaporator 24. In an exemplary embodiment the evaporator 24, feed line 20a, valve 25 and filter 26 are heated to a temperature of about 100° C. In some embodiments, the processing chamber 30 may be a batch processing chamber, which may accommodate 20 or more, 50 or more, or 100 or more semiconductor substrates, which may be semiconductor wafers. In some other embodiments, the batch processing may be a single substrate processing chamber.

An inert gas, such as nitrogen, may be fed into the processing chamber 30 through gas feed lines 80, 80a from a source 8 of inert gas. Feed line 80 may include a manual valve 81, a pressure regulator 82, a pressure transducer 83, a filter 84, a flow controller 85 (with a valve 85a coupled to the flow controller 85), and a valve 86. Gas feed line 80a may be heated by a heater 88.

The inert gas may also be fed into the evaporator 24 through a gas feed line 50. Feed line 50 branches off from line 80 and may further comprise a check valve 52, a flow controller 53, and a valve 53a coupled to the flow controller 53. It will be appreciated that, as used herein, a line that “branches off” from another a line is in fluid communication with that other line.

The inert gas may also be fed through a gas feed line 60 to a point in line 20, between valves 21 and 22, to flow into and purge line 20. Line 60 branches off from line 80 and may include a pressure regulator 64, a pressure transducer 65, a flow restriction 61, a check valve 62, and a valve 63.

The inert gas may also be fed to the canister 15 through a gas feed line 70, to provide a driving pressure for the transport of the process liquid from the canister 15 into evaporator 24; the inert gas can provide positive pressure to push the process liquid from the canister 15 into the evaporator 24. The gas feed line 70 branches off from the gas feed line 60, downstream of the pressure transducer 65, and may include a flow restriction 71, a check valve 72, a valve 73, and a pressure transducer 74. Alternatively, in some embodiments, the process liquid may be driven from the canister 15 to the evaporator by gravity.

The processing system 200 may be further provided with drains 90, 90a and 90b to drain process liquid from the system 200, if needed. Drain 90 branches off from a point at first feed line 20, between the liquid flow controller 23 and the valve 23a and comprises a valve 91. Drain 90a branches off from feed line 20, directly above canister 15 and comprises valve 92. Drain 90b is at one end in direct communication with the interior of canister 15 and at the other end with drain 90. Drain 90b further comprises an overpressure relief valve 93. In a bypass line 96 around over pressure relief valve 93, valve 94 and flow restriction 95 are provided.

The drains 90, 90a and 90b may be free flow drains wherein the liquid is drained by the action of gravity. The free end of drain 90 is in communication with a drain system.

Preferably, the fluid lines that are in contact with process liquid, e.g., feed lines 10, 20, and 20a, and drains 90, 90a, and 90b, are made of a highly non-reactive polymer such as polytetrafluoroethylene (PTFE), perfluoroalkoxy alkane (PFA), or polyvinylidene difluoride (PVDF), or may be made of a similar, highly non-reactive material.

With continued reference to FIG. 9, the liquid flow controller 23 for controlling the liquid flow of the H2O2/H2O mixture is preferably a non-thermal flow controller. In some embodiments, the flow controller may be a Coriolis flow controller. In an experimental set-up a Bronkhorst M13 Coriolis flow controller was used. The Bronkhorst flow controller was configured to control a 30% H2O2 in H2O flow of 10 g/h to 1200 g/h. In the experimental set-up, the evaporator 24 was a Bronkhorst CEM (Controlled Evaporation Mixing) evaporator, but other commercially available evaporators that are suitable for the evaporation of process liquid may be used. The Bronkhorst M13 and Bronkhorst CEM are available from Bronkhorst High-Tech B.V. of Ruurlo, the Netherlands. As noted above, the evaporator was heated by the heater 28. A carrier gas flow was fed to this evaporator 24 through feed line 50 and mixed with the liquid flow to facilitate evaporation of the liquid. In the experimental set-up, feed line 20a was formed of SS 316L, but other high quality metals may be used that are customary for delivery systems of process gases and vapors to processing chambers. Alternatively, as noted herein, the feed line 20a may be formed of PTFE, PFE, or PVDF, or similar non-reactive material.

Accordingly, it will be appreciated by those skilled in the art that various omissions, additions and modifications can be made to the processes and structures described above without departing from the scope of the invention. It is contemplated that various combinations or sub-combinations of the specific features and aspects of the embodiments may be made and still fall within the scope of the description. Various features and aspects of the disclosed embodiments can be combined with, or substituted for, one another in order. All such modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims

1-31. (canceled)

32. A semiconductor processing system, comprising:

a vertical furnace comprising a hot wall, batch process chamber configured to accommodate a plurality of semiconductor substrates in a wafer boat, wherein the semiconductor processing system is configured to: expose the semiconductor substrates in the process chamber to an ambient containing H2O2; and maintain a process chamber pressure at about 300 Torr or below while exposing the substrates to the ambient containing H2O2.

33. The system of claim 32, wherein the semiconductor processing system is configured to maintain the process chamber pressure at about 150 Torr or below while exposing the substrates to the ambient containing H2O2.

34. The system of claim 32, wherein the semiconductor processing system is configured to maintain a partial pressure of H2O2 in the process chamber at about 1-100 Torr.

35. The system of claim 32, wherein the semiconductor processing system is configured to maintain a process chamber temperature at about 50° to about 500° C. during exposing the semiconductor substrate.

36. The system of claim 32, wherein the semiconductor processing system is configured to expose the semiconductor substrates in the process chamber to hydrogen while exposing the substrate to the ambient containing H2O2.

37. The system of claim 32, further comprising:

a liquid flow controller configured to meter the H2O2 as a liquid upstream of the process chamber;
an evaporator configured to: receive the liquid from the liquid flow controller; and evaporate the received liquid at an evaporator process temperature of 120° C. or below.

38. The system of claim 32, wherein the ambient containing H2O2 further comprises one or more additional oxidizing species different from H2O2, wherein the additional oxidizing species is chosen from the group consisting of ozone, oxygen, and H2O.

39. The system of claim 32, wherein the semiconductor processing system is configured to reduce a pressure in the process chamber down to a curing pressure after loading the semiconductor substrates, wherein the process chamber is not evacuated below 50 Torr before commencing exposing the semiconductor substrates to the ambient containing H2O2.

40. The system of claim 32, wherein the semiconductor processing system is configured to expose the semiconductor substrate to O2 while loading the semiconductor substrate into the process chamber and/or during heat-up of the semiconductor substrate, and wherein the semiconductor processing system is configured to maintain a relatively low process chamber temperature while loading the semiconductor substrate, and to increase the process chamber temperature increases after starting exposing the semiconductor substrate.

41. The system of claim 32, wherein the semiconductor processing system is configured to provide cyclically alternating oxidizing gases in the process chamber during exposing the semiconductor substrate,

wherein providing cyclically alternating oxidizing gases comprises performing a plurality of cycles, each cycle comprising: sequentially exposing the semiconductor substrate to a first oxidizing gas comprising hydrogen peroxide and a second oxidizing gas comprising one or more gases selected from the group consisting of steam, ozone, and oxygen.

42. A semiconductor processing system, comprising:

a vertical furnace comprising a hot wall, batch process chamber configured to accommodate a plurality of semiconductor substrates in a wafer boat, wherein the semiconductor processing system is configured to: flow the H2O2 species into the process chamber to expose the semiconductor substrates to an H2O2 ambient, wherein the conditions of H2O2 species flow rate, chamber pressure, and chamber temperature are such that an average residence time of the H2O2 species in the process chamber is below about 5 minutes; and exhaust gases of the H2O2 ambient.

43. The system of claim 42, wherein the semiconductor processing system is configured to establish conditions of H2O2 species flow rate, chamber pressure, and chamber temperature such that the average residence time of the H2O2 species in the reaction chamber is below about 2 minutes.

44. The system of claim 42, wherein the semiconductor processing system is configured to deposit a dielectric material on the semiconductor substrate before flowing the H2O2 species into the process chamber.

45. The system of claim 44, wherein the semiconductor processing system is configured to reduce a pressure of the process chamber to a cure pressure during flowing the H2O2 species, wherein the semiconductor processing system is configured to, before flowing the H2O2 species, expose the semiconductor substrate only to pressures above the cure pressure.

46. The system of claim 42, wherein the semiconductor processing system is configured to flow an oxidizing gas through the process chamber while loading the semiconductor substrates into the process chamber.

47. The system of claim 42, wherein the process chamber is a batch process chamber configured to accommodate 20 or more substrates.

48. The system of claim 42, wherein the semiconductor processing system is configured to anneal the semiconductor substrate after exhausting gases of the H2O2 ambient.

49. The system of claim 48, wherein the semiconductor processing system is configured to flow the H2O2 species into the process chamber while maintaining the process chamber temperature at 300° C. or below, and wherein the semiconductor processing system is configured to anneal the semiconductor substrate at 400-800° C.

50. The system of claim 42, wherein the semiconductor processing system is configured to, after annealing the substrate:

flow H2O2 species into the process chamber to expose the semiconductor substrate to an H2O2 ambient; and
exhaust gases from the process chamber,
wherein the semiconductor processing system is configured to maintain an average residence time of the H2O2 species in the process chamber at about 5 minutes or less.
Patent History
Publication number: 20170011910
Type: Application
Filed: Aug 18, 2016
Publication Date: Jan 12, 2017
Inventors: Bert Jongbloed (Oud-Heverlee), Dieter Pierreux (Dilbeek), Cornelius A. van der Jeugd (Heverlee), Herbert Terhorst (Amersfoort), Lucian Jdira (Nieuw Vennep), Radko G. Bankras (Almere), Theodorus G.M. Oosterlaken (Oudewater)
Application Number: 15/240,141
Classifications
International Classification: H01L 21/02 (20060101); C23C 16/40 (20060101); C23C 16/56 (20060101);