METHODS FOR DEPOSITING THIN FILMS COMPRISING INDIUM NITRIDE BY ATOMIC LAYER DEPOSITION

Atomic layer deposition (ALD) processes for forming thin films comprising InN are provided. The thin films may find use, for example, in light-emitting diodes.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. application Ser. No. 13/525,072, filed Jun. 15, 2012, which claims priority to U.S. application No. 61/504,985, filed Jul. 6, 2011, each of which is hereby incorporated herein by reference in its entirety.

BACKGROUND OF THE INVENTION Field of the Invention

The present application relates generally to methods and compounds for forming thin films comprising indium nitride (InN) by atomic layer deposition. Such films may find use, for example, in optoelectronic applications, such as light emitting diodes (LEDs).

Description of the Related Art

Currently several issues plague the manufacturing of InN and GaN-based LEDs: poor yield of devices producing the desired wavelength and subsequent need for cumbersome device sorting, and decreasing revenue per substrate (the price ratio of LEDs producing the desired wavelength and ones with a slight deviation from this wavelength is roughly 500:1. Currently an InGaN/GaN multi-quantum well (MQW) structure in HB-LEDs is deposited by MOCVD and the deposition involves thermal cycling between approximately 700° C. and 950° C. The high temperature used limits, due to diffusion, the maximum indium concentration in the InGaN; in practice the indium concentration is limited roughly to 20 atom-% before significant diffusion of indium occurs. Additionally, in the MOCVD deposited HBLED, small variations in the deposition temperature inside the substrate area lead to minute changes in indium concentration in the InGaN layer and, subsequently, a change in the emission wavelength, leading to poor yield due to poor indium uniformity in indium content in InGaN. MOCVD also has limitations in maximum obtainable indium concentration in InGaN, limited earlier by increased mobility due to thermal cycling, thermal budget, and temperature uniformity requirements.

A need exists, therefore, for methods for controllably and reliably forming thin films comprising InN by ALD.

SUMMARY OF THE INVENTION

The methods disclosed herein provide reliable atomic layer deposition (ALD) methods for forming thin films comprising InN. The thin films can be used, for example, in quantum well structures, LEDs and lasers. The films can be doped, for example with one or more of Ga, Al, Mg, P or other dopants.

In accordance with one aspect of the present invention, atomic layer deposition (ALD) processes for forming an InN containing thin film on a substrate in a reaction chamber are provided. The processes typically comprise a plurality of deposition cycles, each cycle comprising: providing a pulse of a first vapor phase In reactant into the reaction chamber to form no more than about a single molecular layer of the In reactant on the substrate; removing excess first reactant from the reaction chamber; providing a pulse of a second vapor phase reactant comprising N to the reaction chamber such that the second vapor phase reactant reacts with the In reactant on the substrate to form an InN containing thin film; and removing excess second reactant and reaction byproducts, if any, from the reaction chamber. In some embodiments the reaction chamber is part of a flow-type reactor. In some embodiments the thin film is an epitaxial or single crystal film. The growth rate of the thin film may be less than about 2 angstroms/cycle, less than about 1.5 angstroms/cycle, less than about 1 angstrom/cycle, less than about 0.5 angstrom/cycle or even less than about 0.3 angstrom/cycle depending on the reaction conditions.

In some embodiments, a thermal ALD process is used to deposit an InN containing thin film. The In reactant may be an In halide, such as InCl3 or InI3 and the second reactant may be a nitrogen containing reactant such as NH3 or N2H4. The temperature of the process is preferably below about 800° C., below about 700° C., below about 600° C., below about 500° C. or below about 400° C. The reaction chamber may be part of a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

In other embodiments, a thermal ALD process uses an organic In precursor, such as cyclopentadienylindium (InCp), dimethylethylindium (DMEI), trimethylindium (TMI) or triethylindium (TEI). In some embodiments, the organic In precursor has the formula InR3, wherein the R is selected from the group consisting of substituted, branched, linear and cyclic C1-C10 hydrocarbons. The second reactant may be a nitrogen containing reactant such as NH3 or N2H4. The temperature of the process is preferably selected such that the In reactant does not decompose, for example below about 400° C. or below about 300° C., depending on the particular reactant employed. The reaction chamber may be part of a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

In other embodiments, a plasma ALD process is used to deposit an InN containing thin film. In some such embodiments, the In precursor may be, for example, an In halide precursor, such as InCl3 or InI3. The second reactant comprising N may be a nitrogen plasma containing precursor. In some embodiments the nitrogen plasma is generated in situ, for example above or directly in view of the substrate. In other embodiments the nitrogen plasma is formed remotely, for example upstream of the substrate or upstream of the reaction chamber in which the substrate is housed. In some such embodiments, the nitrogen plasma does not have a substantial amount of N ions, and is primarily N atoms. In some embodiments the second reactant also comprises hydrogen plasma. In some embodiments the second reactant is a mixture of H2/N2 plasma. In some embodiments the second reactant is a nitrogen and hydrogen containing plasma created from H2/N2 gas mixture, which preferably has an H2:N2 ratio above 3:1, more preferably above 4:1 and most preferably above 5:1. In some cases H2:N2 ratios from about 5:1 to about 10:1 can be used. The reaction temperature may be, for example, less than about 500° C., less than about 400° C., less than about 300° C. or even less than about 200° C. In some cases the reaction temperature is less than about 100° C. The process may be performed in a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

In other plasma ALD processes an organic In reactant is used, such as dimethylethylindium (DMEI), trimethylindium (TMI) or triethylindium (TEI). In some embodiments, the organic In precursor has the formula InR3, wherein the R is selected from the group consisting of substituted, branched, linear and cyclic C1-C10 hydrocarbons. In some embodiments the In precursor is not an In-halide, such as InCl3. The second reactant comprising N may be a nitrogen plasma containing precursor. In some embodiments the nitrogen plasma is generated in situ, for example above or directly in view of the substrate. In other embodiments the nitrogen plasma is formed remotely, for example upstream of the substrate. In some such embodiments, the nitrogen plasma does not have a substantial amount of N ions, and is primarily N atoms. In some embodiments the second reactant also comprises hydrogen plasma. In some embodiments the second reactant also comprises ammonia (NH3) plasma. In some embodiments the second reactant is a mixture of H2/N2 plasma. In some embodiments the second reactant is a nitrogen and hydrogen containing plasma created from H2/N2 gas mixture which preferably has a H2:N2 ratio above 3:1, more preferably above 4:1 and most preferably above 5:1. In some embodiments H2:N2 ratios from about 5:1 to about 10:1 can be used. In some embodiments the second reactant is a nitrogen and hydrogen containing plasma created from H2/N2 gas mixture which preferably has a H2:N2 ratio below 3:1, more preferably below 5:2 and most preferably below 5:4. In some embodiments H2:N2 ratios from about 1:4 to about 1:2 can be used. The reaction temperature is generally chosen such that the In precursor does not decompose and may be, for example, less than about 400° C., less than about 300° C. or even less than about 200° C., depending on the precursor. The process may be performed in a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

BRIEF DESCRIPTION OF THE DRAWINGS

FIG. 1A is a flow chart generally illustrating a method for forming an InN film in accordance with some embodiments;

FIG. 1B is a flow chart generally illustrating a method for forming a GaN film in accordance with some embodiments;

FIG. 2A is a graph showing the growth rate versus InN/(InN+GaN) cycle ratio in accordance with one embodiment;

FIG. 2B is a graph showing In/(In+Ga)ratio versus InN/(InN+GaN) cycle ratio in accordance with one embodiment;

FIG. 3A is a graph showing the thickness of deposited InGaN films versus hydrogen/nitrogen ratio in accordance with one embodiment;

FIG. 3B is a graph showing the roughness of deposited InGaN films versus hydrogen/nitrogen ratio in accordance with one embodiment;

FIG. 3C is a graph showing the density of deposited InGaN films versus hydrogen/nitrogen ratio in accordance with one embodiment;

FIG. 4A is a graph showing the thickness of deposited InGaN films versus plasma power in accordance with one embodiment;

FIG. 4B is a graph showing the roughness of deposited InGaN films versus plasma power in accordance with one embodiment;

FIG. 4C is a graph showing the density of deposited InGaN films versus plasma power in accordance with one embodiment;

FIG. 5 is a graph showing the growth rate per cycle versus gallium reactant supply as represented by turns of the valve supplying the gallium reactant in accordance with one embodiment;

FIG. 6A is a glancing incidence x-ray diffraction (GIXRD) graph of a InGaN film deposited on sapphire in accordance with one embodiment;

FIG. 6B is a glancing incidence x-ray diffraction (GIXRD) graph of a GaN film deposited on sapphire in accordance with one embodiment;

FIG. 6C is a glancing incidence x-ray diffraction (GIXRD) graph of a InGaN film deposited on sapphire in accordance with one embodiment;

FIG. 7 is an x-ray diffraction graph of a InGaN film deposited on sapphire heat treated at various temperatures in accordance with one embodiment;

DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT

As discussed above, InN-containing films find use in a variety of applications, including p quantum well structures, LEDs and lasers. The films can be doped additionally with Ga, Al, Mg, P or other dopants.

Deposition of indium containing thin films, such as indium oxides, by using chemical deposition methods like CVD or ALD) has been more difficult than in the case of gallium deposition. Fewer suitable (for example volatile enough) indium precursors have been available for vapor deposition methods. For example in current LED processing, deposition of In-containing thin films of sufficient quality has been more difficult than GaN deposition. Embodiments herein can be used to reliably deposit InN thin films, for example achieving controlled composition throughout InN films and doped InN and GaN films or nanolaminate films.

While the embodiments of the present invention are discussed in the general context of high brightness LEDs (HB-LEDs), the skilled artisan will appreciate that the principles and advantages taught herein will have application to other devices and applications. Furthermore, while a number of processes are disclosed herein, one of ordinary skill in the art will recognize the utility of certain of the disclosed steps in the processes, even in the absence of some of the other disclosed steps, and similarly that subsequent, prior and intervening steps can be added.

InN containing films, including those doped with Ga, Al, Mg, P or other dopants, can be deposited on a substrate by atomic layer deposition (ALD) type processes. ALD type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by feeding the precursors alternately and sequentially into the reaction chamber. Vapor phase reactants are separated from each other in the reaction chamber, for example, by removing excess reactants and/or reactant byproducts from the reaction chamber between reactant pulses.

Briefly, a substrate is loaded into a reaction chamber and is heated to a suitable deposition temperature, generally at lowered pressure. In some embodiments the reaction chamber is part of a flow-type reactor. Thus, in some embodiments reactants flow from an inlet, over the substrate and to a separate outlet, Reactants may be provided with the aid of a carrier gas, preferably an inert carrier gas or a mixture of gases (Ar+H2 for example).

Examples of suitable reactors that may be used include commercially available ALD equipment such as the F-120® reactor, Pulsar® reactor and Advance® 400 Series reactor, EmerALD available from ASM America, Inc of Phoenix, Ariz. and ASM Europe B.V., Almere, Netherlands. In addition to these ALD reactors, many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed. Preferably, reactants are kept separate until reaching the reaction chamber, such that shared lines for the precursors are minimized.

The growth processes can optionally be carried out in a reactor or reaction space connected to a cluster tool. In a cluster tool, because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which the substrate is heated up to the process temperature before each run.

A stand-alone reactor can be equipped with a load-lock. In that case, it is not necessary to cool down the reaction space between each run.

In embodiments in which at least one of the reactants comprise a plasma reactant (a plasma-enhanced ALD or PEALD process), the plasma may be generated in situ, that is above or in direct line of sight of the substrate. In other embodiments the plasma is generated remotely, upstream of the substrate or upstream of the chamber housing the substrate during deposition.

Deposition temperatures are typically maintained below the thermal decomposition temperature of the reactants but at a high enough level to avoid condensation of reactants and to provide the activation energy for the desired surface reactions. Of course, the appropriate temperature window for any given ALD reaction will depend upon a variety of factors including the surface termination and reactant species involved. Here, the temperature varies depending on the type of film being deposited and the nature of the reactants. The temperature is preferably at or below about 800° C. for thermal ALD processes, more preferably at or below about 400° C. For processes that use plasma, the deposition temperature is preferably at or below about 400° C., more preferably at or below about 200° C. and in some cases even below about 100° C.

In some embodiments InN is formed using an InN deposition cycle in which a substrate is alternately and sequentially contacted with an In precursor and a N precursor. The InN deposition methods described herein can be thermal ALD, plasma ALD, or a combination of thermal and plasma ALD, as discussed below. FIG. 1A is a flow chart generally illustrating a method for forming an InN film in accordance with some embodiments. The substrate is contacted with an In precursor 100 followed by removing any excess reactant 110. The substrate is then contacted with a nitrogen precursor 120 followed by removing any excess reactant 130. The steps are repeated until a film of a desired thickness is formed 140.

In some embodiments, in an InN deposition cycle a first In reactant is conducted or pulsed into the chamber in the form of a vapor phase pulse and contacted with the surface of the substrate. Conditions are preferably selected such that no more than about one monolayer of the first In reactant is adsorbed on the substrate surface in a self-limiting manner. The appropriate pulsing times can be readily determined by the skilled artisan based on the particular circumstances. In some embodiments, pulsing times are from about 0.05 to 10 seconds. In some embodiments the pulsing times are from about 0.05 s to about 1.0 s, preferably from about 0.1 s to about 0.5 s, more preferably from about 0.1 s to about 0.3 s.

In some embodiments the In reactant is an In halide, such as InCl3 or InI3. In other embodiments the In reactant may be an organic indium precursor, including a (metal)organic or organometallic In precursor. Exemplary precursors include cyclopentadienylindium (InCp), dimethylethylindium (DMEI), trimethylindium (TMI) or triethylindium (TEI). In some embodiments, the organic In precursor may have the formula InR3, wherein the R is selected from substituted, branched, linear or cyclic C1-C10 hydrocarbons. In some embodiments 0-3 of the R groups are methyl and the rest are ethyl. In some embodiments, the In precursor may be, for example, an In halide precursor, such as InCl3 or InI3. In some embodiments, the In precursors has both a halide ligand and organic ligand, for example InRxX3-x, wherein x is from 1 to 2 and R is organic ligand, such as alkyl or alkenyl and X is halide, such as chloride. Examples of this kind of in precursors might be, for example, dimethylindiumchloride (CH3)2InCl. The precursors can be used in both thermal and plasma ALD processes.

In some embodiments the In precursor is not an In-halide, such as InCl3.

Excess first reactant and reaction byproducts, if any, are removed from the reaction chamber, such as by purging with an inert gas. Purging the reaction chamber means that vapor phase precursors and/or vapor phase byproducts are removed from the reaction chamber such as by evacuating the chamber with a vacuum pump and/or by replacing the gas inside the reactor with an inert gas such as argon or nitrogen. Typical purging times are from about 0.05 to 20 seconds, more preferably between about 1 and 10, and still more preferably between about 1 and 2 seconds. However, other purge times can be utilized if necessary, such as where highly conformal step coverage over extremely high aspect ratio structures or other structures with complex surface morphology is needed.

A second gaseous reactant comprising N is pulsed into the chamber where it reacts with the first In reactant bound to the surface. The nitrogen precursor may be, for example, NH3 or N2H4. In some embodiments the N precursor does not comprise an activated compound. However, in some embodiments the N precursor comprises nitrogen plasma. In some embodiments the nitrogen plasma is generated remotely and comprises primarily N atoms and does not comprise a substantial amount of N ions when it reaches the substrate. In some embodiments the second gaseous reactant also comprises hydrogen plasma. In some embodiments the second reactant is a mixture of H2/N2 plasma. In some embodiments the second reactant is a nitrogen and hydrogen containing plasma created from a H2/N2 gas mixture which preferably has a H2:N2 ratio above 3:1, more preferably above 4:1 and most preferably above 5:1. In some embodiments H2:N2 ratios from about 5:1 to about 10:1 can be used. In some embodiments the second reactant is a nitrogen and hydrogen containing plasma created from H2/N2 gas mixture which preferably has a H2:N2 ratio below 3:1, more preferably below 5:2 and most preferably below 5:4. In some embodiments H2:N2 ratios from about 1:4 to about 1:2 can be used.

Excess second reactant and gaseous byproducts of the surface reaction, if any, are removed from the reaction chamber, preferably by purging with the aid of an inert gas and/or evacuation. The InN deposition cycle, comprising the steps of pulsing and purging the first In precursor and the second N precursor, is repeated until a thin film of InN of the desired thickness has been formed on the substrate, with each cycle leaving no more than a molecular monolayer. Additional phases comprising provision of a reactant and purging of the reaction space can be included to form more complicated materials, such as ternary materials, as described in more detail below. Additional phases can also be used in some embodiments to enhance material properties such as crystallinity.

As mentioned above, each pulse or phase of each cycle is preferably self-limiting. An excess of reactant precursors is supplied in each phase to saturate the susceptible structure surfaces. Surface saturation ensures reactant occupation of all available reactive sites (subject, for example, to physical size or “steric hindrance” restraints) and thus ensures excellent step coverage.

Removing excess reactants can include evacuating some of the contents of the reaction space and/or purging the reaction space with helium, nitrogen or another inert gas. In some embodiments purging can comprise turning off the flow of the reactive gas while continuing to flow an inert carrier gas to the reaction space.

The precursors employed in the ALD type processes may be solid, liquid or gaseous materials under standard conditions (room temperature and atmospheric pressure), provided that the precursors are in vapor phase before they are conducted into the reaction chamber and contacted with the substrate surface. “Pulsing” a vaporized precursor onto the substrate means that the precursor vapor is conducted into the chamber for a limited period of time. Typically, the pulsing time is from about 0.05 to 10 seconds. In some embodiments the pulsing time is from about 0.05 s to about 1.0 s, preferably from about 0.1 s to about 0.5 s, more preferably from about 0.1 s to about 0.3 s. However, depending on the substrate type and its surface area, and depending on the volume and shape of the reaction space, the pulsing time may be even higher than 10 seconds. Pulsing times can be on the order of minutes in some cases. The optimum pulsing time can be determined by the skilled artisan based on the particular circumstances.

The mass flow rate of the precursors can also be determined by the skilled artisan. In some single substrate chamber embodiments the flow rate of metal precursors is between about 1 and 1000 sccm without limitation, more preferably between about 100 and 500 sccm.

The pressure in the reaction chamber is typically from about 0.01 to about 20 mbar, more preferably from about 1 to about 10 mbar. However, in some cases the pressure will be higher or lower than this range, as can be determined by the skilled artisan given the particular circumstances. For example, during a purge step the pressure may be decreased to a level of about 10−6 mbar by pumping down the reaction space, for example, with the aid of turbo pump, if desired. During some purge steps the pressure may vary from about 10−6 mbar to about 20 mbar.

Before starting the deposition of the film, the substrate is typically heated to a suitable growth temperature. The growth temperature varies depending on the type of thin film formed, physical properties of the precursors, etc. The growth temperatures are discussed in greater detail below in reference to each type of thin film formed. The growth temperature can be less than the crystallization temperature for the deposited materials such that an amorphous thin film is formed or it can be above the crystallization temperature such that a crystalline thin film is formed. The preferred deposition temperature may vary depending on a number of factors such as, and without limitation, the reactant precursors, the pressure, flow rate, the arrangement of the reactor, crystallization temperature of the deposited thin film, and the composition of the substrate including the nature of the material on which deposition is to take place. The specific growth temperature may be selected by the skilled artisan.

Although the In reactant is referred to as the first reactant and the N containing reactant is referred to as the second reactant in the description above, the skilled artisan will recognize that in some situations the N containing reactant may be provided first.

In some embodiments, the reactants and reaction by-products can he removed from the reaction chamber by stopping the flow of the In or N precursor (or other precursor) while continuing the flow of an inert carrier gas such as nitrogen or argon.

The growth rate of the InN containing thin film is generally less than about 2 angstroms per cycle, and may be less than 1.5 angstroms/cycle, less than about 1 angstrom/cycle, less than about 0.5 angstrom/cycle or even less than about 0.3 angstrom/cycle in some cases.

As mentioned above, additional phases comprising provision of a reactant can be included to form more complicated materials, such as ternary materials. In some embodiments the InN containing thin film may be doped with one or more additional dopant materials such as Ga, Al, Mg or P. In some embodiments, a third reactant or dopant precursor comprising one or more of these materials is provided at least once during each InN deposition cycle. In some embodiments the dopant precursor may replace the indium precursor in one or more deposition cycles. In other embodiments, the dopant precursor is provided in addition to the indium precursor in one or more deposition cycles. In some embodiments the dopant precursor can be provided together with the indium precursor. In some embodiments the dopant precursor can be provided separately from the indium precursor. The dopant precursor may be provided before or after the indium precursor (e.g. 100 in FIG. 1A) and/or before or after the nitrogen precursor (e.g. 120 in FIG. 1A).

In other embodiments, one or more different deposition cycles may be provided at a selected ratio with InN cycles to form a thin film with the desired composition. The thin film may be a doped InN film, or may be a nanolaminate film in which distinct layers of InN and one or more additional materials are formed. For example, a separate deposition cycle for forming a metal nitride such as AlN, GaN, or MgN, or other film may be used. The InN deposition cycle is carried out at a desired ratio to the other deposition cycle in order to obtain the desired composition in the InN film. The indium content can be expressed (using AlN as an example) as a percentage of the overall metal content in the film, e.g. In/(In+Al). In some embodiments the In/(In+Al) ratio in the deposited film is about 0-20% and preferably about 0-50%. In some embodiments the In/(In+Al) ratio in the deposited film is up to about 100%. Since growth is by ALD and therefore self-limited and independent of small temperature variations, a uniform composition, for example Ga, Mg, or Al, across the substrate surface can be obtained. The ratio of InN deposition cycles to other metal nitride deposition cycles or other deposition cycles can be selected such that a desired composition is achieved. In some embodiments the ratio of InN deposition cycles to InN plus other deposition cycles is less than about 0.5. In some embodiments the ratio of InN deposition cycles to InN plus other deposition cycles is less than about 0.4, preferably less than about 0.3, more preferably less than about 0.2, and in some cases less than about 0.1. In some embodiments, multiple cycles of InN are performed followed by multiple cycles of the other deposition cycle to form a nanolaminate film of InN and another material.

Excess second reactant and gaseous byproducts of the surface reaction, if any, are removed from the reaction chamber, preferably by purging with the aid of an inert gas and/or evacuation. The InN deposition cycle, comprising the steps of pulsing and purging the first In precursor and the second N precursor, is repeated until a thin film of InN of the desired thickness has been formed on the substrate, with each cycle leaving no more than a molecular monolayer. The InN and the AlN deposition cycle are repeated at an appropriate ratio to produce an InAlN film with the desired composition. For example, to achieve an in concentration of about 20-at%, 12 cycles of InN can be mixed with three cycles of AlN deposition. In some embodiments, an InAlN film comprising greater than about 30-at% In is deposited. Since growth is by ALD and therefore self-limited and relatively independent of small temperature variations, a uniform amount of doping across the substrate is obtained.

As mentioned above, in some embodiments InN is provided at a specified ratio with AlN. An AlN deposition cycle is similar to the InN deposition cycles described above. Thus, in some embodiments an AlN deposition cycle comprises providing a first aluminum precursor into the reaction chamber in the form of a vapor phase pulse such that it contacts the surface of the substrate. Conditions are preferably selected such that no more than about one monolayer of the first Al reactant is adsorbed on the substrate surface in a self-limiting manner. The appropriate pulsing times can be readily determined by the skilled artisan based on the particular circumstances. In some embodiments, pulsing times are from about 0.05 to 10 seconds. Example 8 provides an example of a suitable AlN deposition cycle. In some embodiments, where AlN can be deposited, preferably separately from the other materials, the reaction temperature for the AlN deposition is preferably less than 120° C., more preferably less than 100° C. and most preferably less than 50° C. In some cases the AlN is deposited at room temperature i.e. from about 20° C. to 25° C.

In some embodiments the Al reactant is an Al halide, such as AlCl3 or AlI3. In other embodiments the Al reactant may be an organic Al precursor, such as trimethylaluminum (TMA). The organic Al precursor may have the formula AlR3, wherein the R is selected from substituted, branched, linear or cyclic C1-C10 hydrocarbons. In some embodiments 0-3 of the R groups are methyl and the rest are ethyl. In some embodiments, the Al precursors has both a halide ligand and organic ligand, for example AlRxX3-x, wherein x is from 1 to 2 and R is organic ligand, such as alkyl or alkenyl and X is halide, such as chloride. Examples of this kind of In precursors might be, for example, dimethylaluminumchloride (CH3)2AlCl.

Excess first reactant and reaction byproducts, if any, are removed from the reaction chamber, such as by purging with an inert gas and a second gaseous reactant comprising N is pulsed into the chamber where it reacts with the first Al reactant bound to the surface. The nitrogen precursor may be, for example, NH3 or N2H4. In some embodiments the N precursor comprises nitrogen plasma.

Excess second reactant and gaseous byproducts of the surface reaction, if any, are removed from the reaction chamber, preferably by purging with the aid of an inert gas and/or evacuation. The AlN deposition cycle, comprising the steps of pulsing and purging the first Al precursor and the second N precursor, is repeated until a thin film of AlN of the desired thickness has been formed on the substrate, with each cycle leaving no more than a molecular monolayer. The AlN and the InN deposition cycle are repeated at an appropriate ratio to produce an AlInN film with the desired composition.

In other embodiments, a single In or Al reactant is used in an ALD process, where the single reactant comprises nitrogen and In or Al. In some embodiments plasma can be used with the single reactant, including hydrogen plasma, plasma generated from a mixture of hydrogen and nitrogen, and NH3 plasma.

As mentioned above, in some embodiments InN is provided at a specified ratio with GaN to deposit a film with a desired composition. A GaN deposition cycle similar to the InN deposition cycles described above can be used. For example, FIG. 1B is a flow chart generally illustrating a method for forming a GaN film in accordance with one embodiment. The substrate is contacted with a Ga precursor 200 followed by removing any excess reactant 210. The substrate is then contacted with a nitrogen precursor 220 followed by removing any excess reactant 230. The contacting steps are repeated until a film of a desired thickness is formed 240. In some embodiments an GaN deposition cycle comprises providing a first gallium precursor into the reaction chamber in the form of a vapor phase pulse such that it contacts the surface of the substrate. Conditions are preferably selected such that no more than about one monolayer of the first Ga reactant is adsorbed on the substrate surface in a self-limiting manner. The appropriate pulsing times can be readily determined by the skilled artisan based on the particular circumstances. In some embodiments, pulsing times are from about 0.05 to 10 seconds.

In some embodiments the Ga reactant is a Ga halide, such as GaCl3, GaCl or GaI3. In other embodiments the Ga reactant may be a metalorganic or organometallic Ga precursor, such as trimethylgallium (TMG) or triethylgallium (TEG). The organic Ga precursor may have the formula GaR3, wherein the R is selected from substituted, branched, linear or cyclic C1-C10 hydrocarbons. In some embodiments 0-3 of the R groups are methyl and the rest are ethyl.

In some embodiments, each deposition cycle includes a further plasma processing step in which the substrate is contacted with a plasma to further facilitate film crystallization by increasing surface mobility with the heat generated by radical recombination on the surface. In other embodiments, a further plasma processing step is provided once a thin film of a desired thickness has been deposited, or at intervals during the deposition process. In some embodiments the plasma is provided in each cycle. In other embodiments the plasma is provided once every 10 to 20 cycles and is generated from nitrogen and/or hydrogen.

Thermal Atomic Layer Deposition of InN

In some embodiments no plasma or activated species is used in the InN ALD cycles. In some embodiments the thermal ALD InN cycles can be used in combination with one or more plasma ALD cycles for depositing another material or additional metal nitride.

In some embodiments, an evaporation temperature for the In reactant may be from about 180° C. to about 220° C. The deposition temperature is preferably below about 800° C., below about 700° C., below about 600° C., below about 550° C., below about 500° C., below about 450° C., or below about 400° C. The reaction chamber may be part of a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

The In reactant may be an In halide, such as InCl3 or InI3 and the second reactant may be a nitrogen containing reactant such as NH3 or N2H4. The temperature of the process is preferably below about 800° C., below about 700° C., below about 600° C., below about 500° C. or below about 400° C. The reaction chamber may be part of a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

In other embodiments, a thermal ALD process uses an organic In precursor, such as cyclopentadienylindium (InCp), dimethylethylindium (DMEI) trimethylindium (TMI) or triethylindium (TEI). In some embodiments, the organic In precursor has the formula InR3, wherein the R is selected from the group consisting of substituted, branched, linear and cyclic C1-C10 hydrocarbons. In some embodiments reactants are evaporated at room temperature. The second reactant may be a nitrogen containing reactant such as NH3 or N2H4. The deposition temperature of the process is preferably selected such that the In reactant does not decompose, for example below about 400° C. or below about 300° C., depending on the particular reactant employed. The reaction chamber may be part of a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

Plasma Enhanced Atomic Layer Deposition of InN

In other embodiments, a plasma ALD process is used to deposit an InN containing thin film. In some such embodiments, the In precursor may be, for example, an In halide precursor, such as InCl3 or InI3. The evaporation temperature for the In reactant may be from about 180° C. to about 220° C. in some embodiments. The second reactant comprising N may be a nitrogen plasma containing precursor. In some embodiments the nitrogen plasma is generated in situ, for example above or directly in view of the substrate. In other embodiments the nitrogen plasma is formed remotely, for example upstream of the substrate. In some such embodiments, the nitrogen plasma does not have a substantial amount of N ions, and is primarily N atoms. In some embodiments the second reactant also comprises hydrogen plasma. In some embodiments the second reactant is a mixture of H2/N2 plasma In some embodiments the second reactant is a nitrogen and hydrogen containing plasma created from a H2/N2 gas mixture which preferably has a H2:N2 ratio above 3:1, more preferably above 4:1 and most preferably above 5:1. In some embodiments H2/N2 rations from about 5:1 to about 10:1 can be used. In some embodiments the ratio of hydrogen to nitrogen can be selected to deposit a film having desired properties, such as density, roughness, crystallinity, and composition. The reaction temperature may be, for example, less than about 500° C., less than about 400° C., less than about 300° C., less than about 250° C., or even less than about 200° C. The process may be performed in a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

The In reactant may be an organic In precursor, such as cyclopentadienylindium (InCp), dimethylethylindium (DMEI), trimethylindium (TMI) or triethylindium (TEI), and the second reactant may be a nitrogen containing plasma, and may comprise atomic nitrogen or nitrogen radicals, and may be made for example, from NH3 or N2/H2 mixture. The temperature of the process is preferably below about 500° C., below about 400° C., below about 300° C., below about 250° C. or below about 200° C. The reaction chamber may be part of a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

In other plasma ALD processes an organic In reactant is used, such as dimethylethylindium (DMEI), trimethylindium (TMI) or triethylindium (TEI). In some embodiments, the organic In precursor has the formula InR3, wherein the R is selected from the group consisting of substituted, branched, linear and cyclic C1-C10 hydrocarbons. The In precursor may be evaporated at room temperature. The second reactant comprising N may be a nitrogen plasma containing precursor. In some embodiments the nitrogen plasma is generated in situ, for example above or directly in view of the substrate. In other embodiments the nitrogen plasma is formed remotely, for example upstream of the substrate. In some such embodiments, the nitrogen plasma does not have a substantial amount of N ions, and is primarily N atoms. In some embodiments the second reactant also comprises hydrogen plasma. In some embodiments the second reactant is a mixture of H2/N2 plasma. The reaction temperature is generally chosen such that the In precursor does not decompose and may be, for example, less than about 400° C., less than about 300° C. or even less than about 200° C., depending on the precursor. The process may be performed in a flow-type reactor. In some embodiments the deposited film is an epitaxial or single-crystal film.

In some embodiments the plasma power can be varied. Preferably the plasma power supplied is about 100 W or more. In some embodiments the plasma power is greater than about 200 W, preferably greater than about 300 W, more preferably greater than about 400 W, and in some cases above about 500 W or 600 W. In some embodiments the plasma parameters can be selected to achieve desired properties in the deposited film, such as the film thickness, roughness, and density.

In some embodiments the reaction conditions can be selected to deposit a film with a desired density. In some embodiments the density is greater than about 3 g/cm3. In some embodiments the density is greater than about 4.5 g/cm3. In some embodiments the density of the deposited film is greater than about 5 g/cm3. In some embodiments the density of the deposited film is greater than about 5.5 g/cm3.

In some embodiments the reaction conditions can be selected to deposit a film with a desired roughness or smoothness. In some embodiments the roughness is less than about 4 nm. In some embodiments the roughness is less than about 3 nm. In some embodiments the roughness of the deposited film is preferably less than about 2 nm. In some embodiments the roughness of the deposited film is preferably less than about 1.5 nm.

In some embodiments the plasma ALD InN cycles can be used with thermal ALD cycles for depositing another material or additional metal nitride.

EXAMPLE 1

InGaN thin films were deposited using 1000 deposition cycles. InN and GaN deposition cycles were used. The ratio between the InN and GaN deposition cycles was varied. The substrate temperature was 200° C. during the film deposition. TEG and nitrogen plasma were used for the GaN deposition cycles, TMI and nitrogen plasma were used for the InN deposition cycles. The plasma power supplied was 400 W.

FIG. 2A shows the growth rate for the deposited films for various InN/(InN+GaN) ratios. The growth rate was between about 0.3 and 0.5 Å per cycle.

FIG. 2B shows the In/(In+Ga) content as measured by EDX for the deposited films for various InN/(InN+GaN) ratios. The indium content in the films increased with an increased InN/(InN+GaN) ratio.

EXAMPLE 2

InGaN films were deposited with various hydrogen:nitrogen ratios. The InGaN thin films were deposited using 1000 deposition cycles. InN and GaN deposition cycles were used. The substrate temperature was 200° C. during the film deposition. TMG and a mixture of hydrogen/nitrogen plasma were used for the GaN deposition cycles. TMI and a mixture of hydrogen/nitrogen plasma were used for the InN deposition cycles. The plasma power supplied was 400 W. The ratio between the hydrogen and nitrogen gases was varied. FIGS. 3A-3C illustrate the thickness, roughness, and density for the deposited InGaN films. FIG. 3A shows that the thickness of the deposited film decreased as the hydrogen:nitrogen ratio increased. FIG. 3B shows that the roughness of the deposited film increased as the hydrogen:nitrogen ratio was increased. FIG. 3C shows that the highest film density was achieved using a hydrogen:nitrogen ratio of about 1.

EXAMPLE 3

InGaN thin films were deposited using 1000 deposition cycles while varying the plasma power. FIGS. 4A-4C illustrate the thickness, roughness, and density for the deposited InGaN films. FIG. 4A shows that the thickness of the deposited film increased as the plasma power increased. FIG. 4B shows that the roughness of the deposited film increased as the plasma power increased. FIG. 4C shows that the density of the deposited film decreased with a plasma power of about 500 W or greater.

EXAMPLE 4

FIG. 5 shows the growth rate while varying the supply of gallium reactant to the reaction space. The supply of gallium reactant was varied by turning the needle valve that controlled the flow of reactant. The growth of GaN saturated after about 4 turns of the needle valve, thus illustrating that growth rate increases with increasing flow rate of gallium reactant up to a point, beyond which saturation occurs in each cycle.

EXAMPLE 5

FIGS. 6A-6C show glancing incidence x-ray diffraction (GIXRD) graphs for various 2θ values. FIG. 6A. is an InGaN thin film deposited on sapphire. The largest peak in FIG. 6A corresponds to the (006) peak of sapphire with a smaller (002) peak. FIG. 6B is a GaN thin film deposited on sapphire. The largest peak in FIG, 6B corresponds to the (006) peak of sapphire with a smaller (002) peak. FIG. 6C shows a portion of the GIXRD data from 6A. The rocking curve of (002) has a FWHM (Full Width at Half Maximum) of 8.3° on sapphire.

EXAMPLE 6

FIG. 7 shows temperature versus 2θ values with brighter/lighter areas indicating increased counts for the x-ray diffraction pattern. The peak value was around 33-34.6°, with increased temperature shifting the peak closer to the expected 34.6° value for GaN (002).

EXAMPLE 7

InN thin films were deposited using trimethylindium (TMI) and NH3-plasma as precursors. The substrate temperature was 200° C. during the film deposition. The deposition resulted in 0.5 Å/cycle growth rate and a refractive index of 1.9-2.0 in the deposited film. The cycle time was 6 seconds. 400 W plasma power was used and 50 sccm NH3 flow was used during the plasma exposure.

EXAMPLE 8

AlN thin films were deposited using an AlN cycle including trimethylaluminum (TMA) and NH3-plasma. The substrate temperature was at room temperature i.e. about 20° C. during the film deposition, The film grew at a high rate, approximately 2.0 Å/cycle. The resulting film had a refractive index of 1.55. The cycle time was 6 seconds.

It will be appreciated by those skilled in the art that various modifications and changes can be made without departing from the scope of the invention. Similar other modifications and changes are intended to fall within the scope of the invention, as defined by the appended claims.

Claims

1. A method for depositing a thin film comprising InN on a substrate in a reaction space by an atomic layer deposition (ALD) process comprising a plurality of InN deposition cycles comprising:

contacting the substrate with a vapor phase In precursor such that In precursor adsorbs on the substrate surface; and
contacting the substrate with a vapor phase nitrogen reactant, such that the nitrogen reactant reacts with the adsorbed In precursor to form InN.

2. The method of claim 1, wherein the deposited film is an epitaxial or single-crystal film.

3. The method of claim 1, wherein the InN is deposited at a growth rate of less than 1.5 Å/deposition cycle.

4. The method of claim 1, wherein the deposition cycle additionally comprises contacting the substrate with a plasma pulse to provide heat for crystallization.

5. The method of claim 1, wherein the In precursor comprises an organic In compound.

6. The method of claim 5, wherein the organic In compound is cyclopentadienylindium (InCp), dimethylethylindium (DMEI), trimethylindium (TMI) or triethylindium (TEI).

7. The method of claim 6, wherein the organic In compound has a formula InR3, wherein the R is selected from substituted, branched, linear or cyclic C1-C10 hydrocarbons.

8. The method of claim 1, wherein the In precursor comprises an indium halide.

9. The method of claim 8, wherein the In precursor is InCl3 or InI3.

10. The method of claim 1, wherein the nitrogen reactant does not comprise an activated compound.

11. The method of claim 1, wherein the nitrogen reactant comprises nitrogen plasma.

12. The method of claim 11, wherein the nitrogen plasma is formed remotely.

13. The method of claim 11, wherein the nitrogen plasma is formed in situ.

14. The method of claim 11, wherein the nitrogen plasma does not have substantial amount of N ions when it contacts the substrate.

15. The method of claim 11, wherein the nitrogen reactant further comprises hydrogen plasma.

16. The method of claim 11, wherein the InN thin film is deposited at a temperature below 200° C.

17. The method of claim 1, further comprising a GaN deposition cycle thereby depositing a GaInN film.

18. The method of claim 1, wherein the ALD process is a thermal ALD process.

19. The method of claim 1, wherein the thin film is deposited at a temperature below 400° C.

20. The method of claim 1, wherein the reaction chamber is part of a flow-type reactor.

Patent History
Publication number: 20200335342
Type: Application
Filed: Jun 22, 2020
Publication Date: Oct 22, 2020
Inventors: Suvi Haukka (Helsinki), Viljami J. Pore (Helsinki), Antti Niskanen (Helsinki)
Application Number: 16/908,241
Classifications
International Classification: H01L 21/205 (20060101); H01L 21/02 (20060101); C23C 16/30 (20060101); C23C 16/455 (20060101); C30B 25/14 (20060101); C30B 29/40 (20060101);